From 8b08af27823a5242424518ae45bac27cb9e28f6d Mon Sep 17 00:00:00 2001 From: Giulio Date: Tue, 27 Aug 2019 17:16:04 +0200 Subject: [PATCH] Aggiunti moduli Swap, TwoComplement, OperationCheck --- Adder.vhd | 0 ComparatorTest_isim_beh.wdb | Bin 5748 -> 0 bytes FullAdder.vhd | 18 + FullAdderTest.vhd | 97 ++++ FullAdderTest_isim_beh.exe | Bin 0 -> 21792 bytes FullAdderTest_isim_beh.wdb | Bin 0 -> 7004 bytes IEEE754Adder.xise | 64 ++- OperationCheck.vhd | 18 + PrepareForShift.vhd | 42 ++ Swap.vhd | 27 ++ SwapTest.vhd | 74 +++ SwapTest_isim_beh.exe | Bin 0 -> 21792 bytes SwapTest_isim_beh.wdb | Bin 0 -> 5597 bytes TwoComplement.vhd | 31 ++ TypeCheck_isim_beh.exe | Bin 0 -> 21792 bytes fuse.log | 20 +- fuseRelaunch.cmd | 2 +- isim.log | 72 +-- .../FullAdderTest_isim_beh.exe | Bin 0 -> 49951 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 4134 bytes .../isimcrash.log | 0 .../isimkernel.log | 28 ++ isim/FullAdderTest_isim_beh.exe.sim/netId.dat | Bin 0 -> 60 bytes .../tmp_save/_1 | Bin 0 -> 1322 bytes .../work/FullAdderTest_isim_beh.exe_main.c | 40 ++ .../FullAdderTest_isim_beh.exe_main.lin64.o | Bin 0 -> 2776 bytes .../work/a_1130988942_2801528920.c | 151 +++++++ .../work/a_1130988942_2801528920.didat | Bin 0 -> 3292 bytes .../work/a_1130988942_2801528920.lin64.o | Bin 0 -> 3896 bytes .../work/a_2258021406_2372691052.c | 427 ++++++++++++++++++ .../work/a_2258021406_2372691052.didat | Bin 0 -> 4300 bytes .../work/a_2258021406_2372691052.lin64.o | Bin 0 -> 6520 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 4259 bytes .../SwapTest_isim_beh.exe | Bin 0 -> 49581 bytes isim/SwapTest_isim_beh.exe.sim/isimcrash.log | 0 isim/SwapTest_isim_beh.exe.sim/isimkernel.log | 28 ++ isim/SwapTest_isim_beh.exe.sim/netId.dat | Bin 0 -> 92 bytes isim/SwapTest_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 1774 bytes .../work/SwapTest_isim_beh.exe_main.c | 40 ++ .../work/SwapTest_isim_beh.exe_main.lin64.o | Bin 0 -> 2768 bytes .../work/a_0464846403_2372691052.c | 157 +++++++ .../work/a_0464846403_2372691052.didat | Bin 0 -> 4132 bytes .../work/a_0464846403_2372691052.lin64.o | Bin 0 -> 3784 bytes .../work/a_2579272516_1004118533.c | 207 +++++++++ .../work/a_2579272516_1004118533.didat | Bin 0 -> 3236 bytes .../work/a_2579272516_1004118533.lin64.o | Bin 0 -> 4320 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 3850 bytes .../TypeCheck_isim_beh.exe | Bin 0 -> 49568 bytes isim/TypeCheck_isim_beh.exe.sim/isimcrash.log | 0 .../TypeCheck_isim_beh.exe.sim/isimkernel.log | 28 ++ isim/TypeCheck_isim_beh.exe.sim/netId.dat | Bin 0 -> 84 bytes isim/TypeCheck_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 2179 bytes .../work/TypeCheck_isim_beh.exe_main.c | 39 ++ .../work/TypeCheck_isim_beh.exe_main.lin64.o | Bin 0 -> 2672 bytes .../work/a_4228824053_1272247069.c | 368 +++++++++++++++ .../work/a_4228824053_1272247069.didat | Bin 0 -> 4656 bytes .../work/a_4228824053_1272247069.lin64.o | Bin 0 -> 5512 bytes isim/isim_usage_statistics.html | 10 +- .../ieee/p_2592010699.didat | Bin 5884 -> 5884 bytes isim/temp/fulladder.vdb | Bin 0 -> 2152 bytes isim/temp/fulladdertest.vdb | Bin 0 -> 6168 bytes isim/temp/swap.vdb | Bin 0 -> 3446 bytes isim/temp/swaptest.vdb | Bin 0 -> 4833 bytes isim/temp/typecheck.vdb | Bin 4767 -> 4769 bytes isim/work/comparator.vdb | Bin 4228 -> 0 bytes isim/work/comparatortest.vdb | Bin 3905 -> 0 bytes isim/work/fulladder.vdb | Bin 0 -> 2147 bytes isim/work/fulladdertest.vdb | Bin 0 -> 6163 bytes 68 files changed, 1885 insertions(+), 103 deletions(-) create mode 100644 Adder.vhd delete mode 100644 ComparatorTest_isim_beh.wdb create mode 100644 FullAdder.vhd create mode 100644 FullAdderTest.vhd create mode 100644 FullAdderTest_isim_beh.exe create mode 100644 FullAdderTest_isim_beh.wdb create mode 100644 OperationCheck.vhd create mode 100644 PrepareForShift.vhd create mode 100644 Swap.vhd create mode 100644 SwapTest.vhd create mode 100644 SwapTest_isim_beh.exe create mode 100644 SwapTest_isim_beh.wdb create mode 100644 TwoComplement.vhd create mode 100644 TypeCheck_isim_beh.exe create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/FullAdderTest_isim_beh.exe create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/isimcrash.log create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/isimkernel.log create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/netId.dat create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/tmp_save/_1 create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.c create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.lin64.o create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.c create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.didat create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.lin64.o create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.c create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.didat create mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.lin64.o create mode 100644 isim/SwapTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100644 isim/SwapTest_isim_beh.exe.sim/SwapTest_isim_beh.exe create mode 100644 isim/SwapTest_isim_beh.exe.sim/isimcrash.log create mode 100644 isim/SwapTest_isim_beh.exe.sim/isimkernel.log create mode 100644 isim/SwapTest_isim_beh.exe.sim/netId.dat create mode 100644 isim/SwapTest_isim_beh.exe.sim/tmp_save/_1 create mode 100644 isim/SwapTest_isim_beh.exe.sim/work/SwapTest_isim_beh.exe_main.c create mode 100644 isim/SwapTest_isim_beh.exe.sim/work/SwapTest_isim_beh.exe_main.lin64.o create mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.c create mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.didat create mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.lin64.o create mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.c create mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.didat create mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.lin64.o create mode 100644 isim/TypeCheck_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100644 isim/TypeCheck_isim_beh.exe.sim/TypeCheck_isim_beh.exe create mode 100644 isim/TypeCheck_isim_beh.exe.sim/isimcrash.log create mode 100644 isim/TypeCheck_isim_beh.exe.sim/isimkernel.log create mode 100644 isim/TypeCheck_isim_beh.exe.sim/netId.dat create mode 100644 isim/TypeCheck_isim_beh.exe.sim/tmp_save/_1 create mode 100644 isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.c create mode 100644 isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.lin64.o create mode 100644 isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.c create mode 100644 isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.didat create mode 100644 isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.lin64.o create mode 100644 isim/temp/fulladder.vdb create mode 100644 isim/temp/fulladdertest.vdb create mode 100644 isim/temp/swap.vdb create mode 100644 isim/temp/swaptest.vdb delete mode 100644 isim/work/comparator.vdb delete mode 100644 isim/work/comparatortest.vdb create mode 100644 isim/work/fulladder.vdb create mode 100644 isim/work/fulladdertest.vdb diff --git a/Adder.vhd b/Adder.vhd new file mode 100644 index 0000000..e69de29 diff --git a/ComparatorTest_isim_beh.wdb b/ComparatorTest_isim_beh.wdb deleted file mode 100644 index 3511276556bc92dcf798467891e61d39ec3b3ed4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5748 zcmeH~e~4676vwZYrJJVxPR$(GY%_J=jK8&#b#+Tk#Zbu#8E0pnyOX=~hIwyvS0e4N z%8H^tE2N+d1S2RSh@}3Bk`RK30)zTT{IC8HiVEucdGFqxx8ukfh<|zD%sKa*?>+b2 zbMCu$_qUCoKi_q1)tWPV=N>=$>ztFuj5E+{@vkwTUYjTjtvqdI+l0ja?`0;tteMu4 zXfNDi%vHWxgR5tt^%>wRS$qX(yw>UJo*I9Y=tp!-BsYaIdvqsUBil`4XM0(;Nin+h zkHfipMxXg8a%<7|Z>;BSD~Zcm>zb&$n@efAMIo*iudw5ZlH|WqJWaexOqA7Pc5{5n z7k{t(r?mULZnU>#TQA#rZFQQq26r{_*IKNkRDN$uc+V7Lo>su>WZ|V}#Mdc~h9gyR zyOt>?c5<6|PBJuiO19Y>_EzbrczRUWN#N^Uuyl- z3u20m75m-XW|`U6p6w8LxwuEXES{ZwOQ_TnW*?5o333u2abDH@qhi*W9wU<8ST(jY z2RymWYawMao3!9o@e|^QEXM7NT+m@^spVWvN6ucHkL<4t{Em3)p`>Q4N&g%**Mj~* zX_?XZL&f;a#(7ZVvEsNIrX_JsDaL6rv{8(Dv3%GU_hqxp$o7*a{%qrH(s-;mH`_RA zNt|<<_?M0I=tbhNKCcCmJF;2IWVXu4J3w9B{Y!uN4s3XHyL9L=VR<9K(my2MZyI}t z#?TI7c|XA1h(Scp(pc_kXt%JuF<_H-^Fl25Am_9wEbkCl-X@5+=q_LG>Wuh=unQ$6 zM*6`Sc3AKqi!)*-FOmT3YE6IB*dH~{h}(qilmzSQOYPFwa~fyx3+qXObv>XSY3!dG zXT-CF%}Rphts5r>m&Q(%F(X@tusKPv^am_wE{)}0$jG)>*u|1y=?_@;n8t3g*fqi~ zkq<0yELirK#+KyF{xE%fzp!iMlQ7&FVA&%*MkfaK2D?w7F6m(DJAU@q3R@AVTRyP7 zk&rt(#Dux;iF-hx_0qxewiB0nxN`=UdV_sNpdRU9-MdOX(pb(PzUKsbSQ0FM7eM5I z9~*J`PB<6d`f#--@wGuO`Lj_I&EeV=c2`nJI8 zE+3@RrH}~An=~=OC>Dp4suX*c&!D^vah=SSQy!d@i?qX)^f| zSBt^Sug3vQ$IcGZu}hp4=fqEn9~W;HZxAo)Fb#Nqzh6|!vE~2GPtvv1hqAd*i)wsu zlz}YjF{&v&jDj{!Yz@r8Rv>G%DDu1j z)i9cT6jYO+;>baWbP!C_u-ES|>@Tb0Ly;MdOvU$0;ekTc6bFLhzT`Jw^=svzWTNt* zZ}x_!8k$OI>Y*77P3RZRV7X%YQ!R_nOrwLzu;z!sKs_o4l_YE9_14P+C2zp*D-?&r zaxUv_>s*}8EzhpXE%9p6-my<)p;EH3f|^x^Mzl&0SzB?xv>;Ej8|p!)$A_R^k4)ox zNvUgV#s22X4E06BX1FgJGQH)f7}P6~DOV!D&##%)an+W-In diff --git a/FullAdder.vhd b/FullAdder.vhd new file mode 100644 index 0000000..a3dc49d --- /dev/null +++ b/FullAdder.vhd @@ -0,0 +1,18 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity FullAdder is + port( + X, Y, C_IN : in std_logic; + S, C_OUT : out std_logic + ); +end FullAdder; + +architecture FullAdderArch of FullAdder is + +begin + S <= C_IN xor X xor Y; + C_OUT <= (C_IN and X) or (C_IN and Y) or (X and Y); + +end FullAdderArch; + diff --git a/FullAdderTest.vhd b/FullAdderTest.vhd new file mode 100644 index 0000000..5d39dba --- /dev/null +++ b/FullAdderTest.vhd @@ -0,0 +1,97 @@ +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--USE ieee.numeric_std.ALL; + +ENTITY FullAdderTest IS +END FullAdderTest; + +ARCHITECTURE behavior OF FullAdderTest IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT FullAdder + PORT( + X : IN std_logic; + Y : IN std_logic; + C_IN : IN std_logic; + S : OUT std_logic; + C_OUT : OUT std_logic + ); + END COMPONENT; + + + --Inputs + signal X : std_logic := '0'; + signal Y : std_logic := '0'; + signal C_IN : std_logic := '0'; + + --Outputs + signal S : std_logic; + signal C_OUT : std_logic; + signal clock : std_logic; + -- No clocks detected in port list. Replace clock below with + -- appropriate port name + + constant clock_period : time := 10 ns; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: FullAdder PORT MAP ( + X => X, + Y => Y, + C_IN => C_IN, + S => S, + C_OUT => C_OUT + ); + + -- Clock process definitions + clock_process :process + begin + clock <= '0'; + wait for clock_period/2; + clock <= '1'; + wait for clock_period/2; + end process; + + + test_process :process + begin + X <= '0'; + Y <= '0'; + C_IN <= '0'; + wait for clock_period; + X <= '1'; + Y <= '0'; + C_IN <= '0'; + wait for clock_period; + X <= '0'; + Y <= '1'; + C_IN <= '0'; + wait for clock_period; + X <= '0'; + Y <= '0'; + C_IN <= '1'; + wait for clock_period; + X <= '1'; + Y <= '1'; + C_IN <= '0'; + wait for clock_period; + X <= '1'; + Y <= '0'; + C_IN <= '1'; + wait for clock_period; + X <= '0'; + Y <= '1'; + C_IN <= '1'; + wait for clock_period; + X <= '1'; + Y <= '1'; + C_IN <= '1'; + wait for clock_period; + end process; + +END; diff --git a/FullAdderTest_isim_beh.exe b/FullAdderTest_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..11ae4f70553f0c5d3994a18a93c772b43d96d96f GIT binary patch literal 21792 zcmeHPe|%KMwV(WgltMNjXatliE^QFAWFcUJ3GT8RxN8@T5G?x8-DR^$R+HVhKOk5> z8k$78T^ITI{8(S#r?0&J(7wL*mG)T#YB3O!6zvbCYHj_6zwe4DR?9<*?)#p(Gs$Iz zzJ4D5XC|L}&zv*ooH=vm%-or|cXLO*cWHiJ9+N4bEoOu%We!d2MR14&3 z5?)SnWb1Pdjsp65W*C0apsSN)O$sNFc_dft(hqqfmCU9@j{z5^KH z4gyT!_Yuf_0b0Wwi6+W#y2@bKbd`f=B&;>aOhPGlE#%0~e>6!u=eC8{Ev%Z`)>P3J zjwCxPI;$5}EUdD{qqce6{!%EUCN5jCnyZ%n_=2ct7T^+G3a$ybWO9hi<$%O98`qV% zzKn~K#Q^LIz-nBTxW?gHgv*JG+BXjux#2uqlqTV#_RhpL7uO72lxlEYjB7C=NH$z{ zp8Lmcr^nOjGP0eLIvJTM0vRhH`G^wvhJ00qYa%X6({WvZ>movsq~E6^Z^bp9h)6UZ zD9yq3C7v_l?n2}*#dSU|3egH&m*AR@YXPn*TqU?_vu>uSI zZvSa)bI_29&^%VeAN38T0??@+Wcseid=t=2m;GDE^^`H?dcVPW(g61g`iEYFF5~zK z6r1%-6XWtZUhjC;aG8TEAYW5@4)#xi7v+3qP#M#?{tH-_XcthPsvtO#dgxleK@c56 z{h%0>)9&QQGtvJObhDjziwc4{bSe8`4t<(v@VzZ!@!O!WkUycj@z8wB>@IS>#zK9b! zmi9v0T<^C;y>mE!89OHQ%ki*L(3Ryzy?wwb^$YzD;Rk8YA(WZ*|BZ7qb|8nI5%fdQ zQ+kFte_84m_S5`BXpAgB@nyCrC=6XK_z&mU{~gfJXkZINd09F9#6!A9UEDwGn~LJ6kN}mqaC3L13eaOjj@hoB2GwCvV%Y(dJAiA2^q9D z@U@59ZkhVl;9ydf4&guovQM-t7jy%Q}@ z0Fp_<3+;h03^2qx!)?A*$#wCKa7g=#Kzj%+Te08@-!kttOPpSxZ-vih^R0_U6K&Bz zQz&N2+JYS&zDkjN&Z?!ASIk>F-(IsJ8oR|Ej)j7WXl&z>WOH*UR)3C47X)H0!KKh* zv?3T!`kKR$@HuL=S0>}3#&CNmnoOLlh4va46liM*BwCk7W1e`py)oEU&#sQHi~ClE z+mmepc*ED|@-Bz(eQR8+8UrooYX1E7;n0Sq;kJ+~nv9?Yyep&4i4B2R=sHAeI2t*d z0p7sIQ0%kl?RgZ;WCJNXS0~oU2F0Pta}A=k3C=<%HKFI50*QbTzr3NYXvfA_xTO`L zeNOR8p|u{Nb(Wr5mE%uS1R?;>wQ{??E!r~Ty|dXMhi5||cuSy#yZWs5N?xOLee2?J z;k0velYL$y*tRZ^2)2^B=i1Q=B2hH_T(#J%S_ARb@lfoVjs#+zf+iGc;?eQh3^4nK zrgbB|b8Zf-3CEWu!^@*hk5WE%jYbLG4Gx3b;E^;c zk=L1YNgZa{LLnpTB01WN(_Xg{*B^d*3sz8ttO@H4q9tbEi*fjof1sUh47_ z;B?xT%T(s_^DOD1J)ca9%g?h!pU!i}t=#438KPgxbH=UG<>wiqm-C!)t9JQ$hUjy7 z&bT>Tex4yZ`Bf&><>y(Vo6j;DO!O-_hjCkDqSJYZOn#T2XGxFTlMtcRaGy z7MGuAshhCX8BW_=ex4_~SekPD0hgacqKmHO_;#0{L!z0_Cw^$6izO)M+hL;1n4yAQ zCb~RRA$p&QejX7-`nAi?b5!qSo-=Mwy8Jvtba{S5gy&p-0^IhgJZIbvyZk&u{B*V? zlkW2KEYaop6A_NN`~{QOze+fCuuLDv|4cZA zYGwz=4-rlwn%U0r#|g(=#WLGCzMpU!4w){F?;(6F;T;_RIpMTx%J@0{Bf>Fvu}lNU zzf1Ty!W|s{7U4AYWGXp+FX1%hWE75ngYXH2mva2;gwv47Fpl3wI1Po&u}?sl6(gL6 zK;|&VZzh~VKl3EV*AYI6@IH>;KsXJ7%npvPB%DG&vz_Bt6HXzY*~alDgwt_irid~IMN!4CeyI()n(C8WJqZ2rFXdfL=s6#`vpVa>d#t*k` zqdrzsML)YtVQOz*B0u{i@8WId)K%xHQW#sUYHuV;k60noSFCD9Z_Ggu9D?u*$f&7O zwf9i+^}(Wxz?*llzqz@2n{jPht#;QfD~ASBaQ-fs$?F>b7<2lWN9#Z6%)WN8f22mT zDf|0GF}K7rgKdVXcp4phj1HK9>rZ@~&8n#>)5&NppR+YvX1EzM?t6*-F$%vU4^w

a6HrzL;S1r9MUCK5(+esQC~E3TeHKaf_Ib1e zmYx*(EL|5xWvaGs4~61hGEzTGOzFB13cT7Ydno3Of*};t_hxUOrKYA^?MKzrc~Gr_ z&EDtL-pUTB-TlS-elR&}-b=pX)!uxJ#)p3R6!gI|@QfIjxfy(LQ9n8{vls!drskv4 z1BiHgpZ@XRvRUqJeHDbaHE(l%bDpw~88t!nyF z>rw=)swJ#t_T%34_pJ_S(|W89P%V!XJq^ZYpl+c0FHwVjAr)qyxwaHff zA)*x&T2HsG)~at61?pq;9;bCfFskl_UA{m^*rsaL@auz^SyZiq+Z2zIP5OV35XNUG zitj?PbeQv3JPN)+#oCa5FCcnO)duyi8d-e?peJ2esP3MN(~g!(T6$~1W!7HJwO3h5+dHH!y`VrSZ#R@%dWuLhH83l>seoHiH#mW;_+$_7 zy2rpxN;UwZpT??tM*0cTrRIKGC3wJpT0dRMb$mi@7`q6n-_oxKCDV&}6a5xJb$TlZ z=pakaWtgiFAH-Bev~=AHlyh4!3FwtXOL?tjd*@Qer7l#pm-WA$5W@2@0jufOJhkRU zOV3~Nz*bWWuCppon6V#HXaC8Ve=R!;r*0{nr)Kx7HIFCX?>(eFY3aFyL?3`p2MfnA zT_cU@P3(($_J5Gm-lZ{Jju0MVG)P6a|1)u6M3?K=Qx1b2Ebk66+c1k!dJE@Epfrs^ zsEEm5uL2okrjDWjMRl9dP|R1$sYXHCZIBibY4ZV^qjpiRj7)u)my0%CiWa7({DcFz z#+_2sRE;P2f-`$S-S=97S};{FLtWjN*zim#fQSdYZcVXleJ`J}A-A=bw5ma5)KsIj z494hKew^A(Yanl`a6f-|SbA>NPRCx(&K1jI-Ez-F!9^*tbFFk#dshEpXu5(nY7*t z4mYu6_Yq}gVuod>d$OZ;=9a>matCSQRG=${EQ70lY)2y|D`;3epSlyZ7E z-Flq*e!`DXhK_P;m!y~HnQiTD#F#~UEj7Qumzj|1I<|gRb zyOyCJF_3=#SKfn`-be6+>blZq>4{-gi$OGz3%tmKZ*Yt)a|q?AeZ;NWZ`1YgyC*$) z>^95Je7GySzZU&xm^g{VKW$>aqR>o?p8S*}TFH{sgntKz;Rr30Sw{0kswhpg)Resd zUTr8<<_*4vwamWP3%mt0^-4o7j6#oAXw@#Ha82Q=aa*mHdoHBqNNU2>hKjENxKo#S zQSH%^(f3yzGFp<{isc6?`iCG+p-zqMEO+mZ#hU zOsumC%w!44ns%72@=si;FL~6HK1erU8nFPi9b4tM%V~!21Amjiu+;n8Nh* zhuQ3rUZ}hq>U1B9jnzyqax_i82#9{aidF-e-|%^VK>*4!D~$QoiAwbHVX+m+(X>>l zS3z;+1u&7l*O9%ng)!ENHeu_{AURVPz+6wt%k5lDb_V}~$x|DD%&A?Fc}r-RdYT4H z?{8?bFdSAwQA8>lxrz@irlyu=e!@F+!enUds$rJiwS2~&VCA0hTCwooWG&V|{tFr0 zXvKP?uyiK5s-NUBLzbc3s-|dz&$tLdWeae95R z{wVQj^`$W5ql*-_2TMY#C5aX7l&^rv`Ea4CtuEW6kZ7uC3R&M>%@UPRzMuS?UTe*B zb|1)BHSZK~RI9<~^%kzw{UYYC18RCQw+O;JPyxyMZ(oH1ta*P5LcJZ&{iPP)yQmHhw z_H2gkeVKp-1bp+}T3$mrj(O+5?3YstoXysy+3=gO=W_ zd2kjLp&hDLmbr@*q^8_I4H3Smd#FGSX4QRf73iV&Ku=Ggx8qpX^6)eQa~!9*iuFsW z%G8v#kW@8S=`IS)?nQaGFLClu1Ac`8i*TEeKshZHQh88P#PoMavF5&r0$L&2?tx-= zjdI7+(p&ouV+~O^g^Gp>X+S{zOZpGqg`=*c$9uZ&r=aQ&gJ4Wme?|^e`Y=NOw_)l5 zgZd)O)wc{&{|hnq-m)dH>iw3qR;(2sJl&QJ6v%4h@i+J{t< zZnNg=Pl4n?U)*&P&w(Xa=*@9!uX?hBThO6Lo<)0pJrzb{fr0~qA^m%#fM%9KcWIVo z(r`3&GJQ8iKreKK$Ugl`kkSV-S%u%(ES*FRKX!>g7-S0Re? zJ=&Pe$9x?-r3uA+C4dSoJID1LwAAn0l8G8{xod_x#2 zS?z{ka|@4_O=k%k?>@;T;mE{%8%X$3ThTY9{}{jk4nSB2*pP)DhUk18b8AEYdW0 zT{uFg3ZrS%1EU@o^}whHMm;dVZ)YjCx?y1EU_09%!)5 ztF$kytg+8$rfhgl!yJ1}%>sKRGi6D}_%wWxiAp~iU^tjFeqdtCiJzLtn$8S|e?*~_ z2WKl(X9WzJudE5<(~{216;C8+Q=DyW3jd`A&Vg{g5nGSbK&Z=`R&ZrCAe}Hb{VAJW z3;2D&1mMGfcLQ3V&t?w*KK*t!I~j+tuLE8VI2|-fdABvJWO<#XWB&D}@d}$Zer)kJ zlp5c@S}6lPRRrB7bnMK(iLC`J2i^Q#H1RazQb2Df4NFQ&@5!$(DO*yaEGa3!yC_|_ zwZL6cdFL2cNwvGg(M^ZnNF+yguK9B|%YRb_xn*361V}@)s--_@gf8UFfbe@Lv{__%|oG69WuVgdocI|`mUTz(FvZ`X*7><^)i z|7M*SOD8)xzod6gGH`kwMd<Mu%lB^$Zi=%{>3x4>W+$8yb zBXS#j|M?D1dQiZf0uBoJtblI{cwE3S7Z`Hq3-~1g7Yevk!0QBT7I3|QcM5pFfDa0| zQ@}w1pB3;;0gnqfrcBf?AaBIqxt+i(7ITv0$V@ZmXPS}G{HO;;JuvElQ4frIVAKPj z3lIG8a);4QxxQHDaxGHI@$aZ+D^)hTZJsi(va-7Jipnabd?j8_t9bLy=@knqXXD>i zoeQ`9Q}X{m%Ku@bE#6B1wjzL!D)2o;DAvJjcpo3K;kUsRi9idp;Wyf?%+|Cq65rTv zz(kDMS|Ul?dhtIB%!m(Vu~1up1VpZ*EkU~RV|!a7)QLO)*AKQ>l>dm@7Hai1$MDAA z*V+VGi7^}f`-wOzG{DV46hdd9Jsd>sQK-Sq#&sKd@Jo3J;nNiQ`r|W7w6CExqTDyI zdyRtr93}uL3ukav%LI2Ws0b{kOI_{q?{zhkul55^8)J}g9E=4IxWfi zC2=D%Brp9h|BseK$S)Fpl>Ca!2)G&0EHD2Lq5S`Zbe3dJx%J+f9ohjQfUXQn(k4Uo&9 zi~ksG6noNsx&N2{Px7#6zdY}e^3twfK-O%({J#nM5~Kbt1{l6ec?tLA$jkGe4woTv zcv#ZNNI41nK{nU#cl$Y`-)-V-ADSt@FNs!A+xU5_95d8Xb1N)LpowgHqcbc8qrZiD_ zFcD2ij8AGB!vksp(FgxttjdES+L-vlgE2m6!b6|b2Tc4))bE?QclUPKr3(=glAh%3 zIrp6Jo_p>&ckayoviq}_y5HHn?T5jpQ*ZsW>T{6>A-x*@iu}4DQr6rY$v@S&hF zXSRKIPl#M?K6@l~zK|5p+#JuS0>&Wr0$;ELU~j8tG|#$ zCh<+g&mw*?MPE%K)5^v;+SZ6~CgQF)Y~9LsgJH`l+dRYey0R4zOvn2YHpVhZ=g9A) zKIPEga9rD@YS?KNycU*sf13)@tro8byPg{a)xz?wy*Gl$Vs0E%3(IHY>j)ypYG9Q+ z6uMrGDj=o{r}c!yZgzw?kxodW9|E&;o59><7nmE^0_M!EV0PlvqBDPey3;v$0bjq^ zfb4+n0w!u+gUKdmG5Oqlk$vbK`Pqdc>lTXy_=;W#eL46>#DOT2&R!cZT^hlbGTaV1 zr8>c#F;8ON0JEap^hvP(K!+;dgO`KpI}bK}OR?a6urcHc=%#N2#w%gx8Z9y3HjFLh zdoi}&bM%`R~YuSTAcI1Y)}~XRL5cdI?ivv+^;Y=dR5~rz$PA082+)-akxhvrvMD^ zLFNoPN;~KXbMsjQ5Dk=kUizlCe!L8J3ru^v^0Ou+PEoN!zn&YHX9k+)tp&IZydAtL zoE<$cR9Q2!zMW}Pe@@GiIWo?1%%1>rjim&F%z3mEOB-`zuj3LX*@XrBzz4vOD2%x; z>Z~2>h2_e5u*Mv-KNh%z`7eUsJ0kKh#$1a%(!_kIYsngtOle{K8e*JKakgPRTO6)M zGNpy_`-t%Yn6w8>wN^gd$F1PFv|yXW#4{?+V;IjCN7u=em?nD=oOd+$qwhx6g8fzD z!#e~+=>t~Zy+i5;mhS^%nI9>RwL+`Neqi~|AQsnq zNO9~cWq$@(J}1O3QMNdCHS9dMX<+&8A(r<(iCC_o>#z>G1wIYzgOCuy_W~u)qs9{d z5!eF%4d+HkVj7j?`8ToOVQhitV`2*=v3DxTvteSVF}A=tvG;(9Wlv0O2b>mc31C|xiRH6SEO93GafRIqY#V&U z^4&=+dtzdDqmyVi_V!o)`eBK$GbXCTGI1Mx6 zU*`Cm12gmcKgUs3q8hW#BuX;R8HxQO>AthaB>IV?pOSt``YHL$QtEd92bNrxXbT})vHxk$bZq6-?*~#{n zPJ4HMZ)!(Bg$;ks_KO+YbsWd`gKR424Y`?AGTG4{O|EaT5Xhlikq4VRyminhI&8NRqOU14cbBSkOc_ - - + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -171,9 +203,9 @@ - - - + + + @@ -242,7 +274,7 @@ - + @@ -257,10 +289,10 @@ - - - - + + + + @@ -284,7 +316,7 @@ - + @@ -308,8 +340,8 @@ - - + + @@ -328,7 +360,7 @@ - + @@ -383,7 +415,7 @@ - + diff --git a/OperationCheck.vhd b/OperationCheck.vhd new file mode 100644 index 0000000..f002389 --- /dev/null +++ b/OperationCheck.vhd @@ -0,0 +1,18 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity OperationCheck is + port( + X_SIGN, Y_SIGN : in std_logic; + OP, RES_SIGN : out std_logic + ); +end OperationCheck; + +architecture OperationCheckArch of OperationCheck is + +begin + OP <= X_SIGN xor Y_SIGN; + RES_SIGN <= X_SIGN; + +end OperationCheckArch; + diff --git a/PrepareForShift.vhd b/PrepareForShift.vhd new file mode 100644 index 0000000..54a44ba --- /dev/null +++ b/PrepareForShift.vhd @@ -0,0 +1,42 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity PrepareForShift is + port( + X, Y: in std_logic_vector(31 downto 0); + DIFF_EXP: out std_logic_vector(8 downto 0); + SW: out std_logic + ); +end PrepareForShift; + +architecture PrepareForShiftArch of PrepareForShift is + signal LT: std_logic; + signal EQ: std_logic; + + component Comparator is + generic( BITCOUNT: integer := 8 ); + port( + xT, yT: in std_logic_vector((BITCOUNT-1) downto 0); + needSwap: out std_logic + ); + end component; + +begin + C: Comparator + port map (xT => X(22 downto 0), yT => Y(22 downto 0), needSwap => LT); + + --istaziare sommatore la cui uscita va mappata in X(31 downto 23), Y(31 downto 23), DIFF_EXP + + EQ <= '0'; + + O: process (DIFF_EXP) + begin + for i in 8 downto 0 loop + EQ <= EQ or DIFF_EXP(i); + end loop; + end process; + + SW <= DIFF_EXP(8) or (EQ and LT); + +end PrepareForShiftArch; + diff --git a/Swap.vhd b/Swap.vhd new file mode 100644 index 0000000..2320648 --- /dev/null +++ b/Swap.vhd @@ -0,0 +1,27 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity Swap is + generic(BITCOUNT : integer := 8); + port( + X_IN, Y_IN : in std_logic_vector((BITCOUNT-1) downto 0); + SW : in std_logic; + X_OUT, Y_OUT : out std_logic_vector((BITCOUNT-1) downto 0) + ); +end Swap; + +architecture SwapArch of Swap is + +begin + SWAP_PRO: process(X_IN, Y_IN, SW) + begin + for i in (BITCOUNT-1) downto 0 loop + + X_OUT(i) <= (not(SW) and X_IN(i)) or (SW and Y_IN(i)); + Y_OUT(i) <= (not(SW) and Y_IN(i)) or (SW and X_IN(i)); + + end loop; + end process; + +end SwapArch; + diff --git a/SwapTest.vhd b/SwapTest.vhd new file mode 100644 index 0000000..b369f4c --- /dev/null +++ b/SwapTest.vhd @@ -0,0 +1,74 @@ +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--USE ieee.numeric_std.ALL; + +ENTITY SwapTest IS +END SwapTest; + +ARCHITECTURE behavior OF SwapTest IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT Swap + PORT( + X_IN : IN std_logic_vector(7 downto 0); + Y_IN : IN std_logic_vector(7 downto 0); + SW : IN std_logic; + X_OUT : OUT std_logic_vector(7 downto 0); + Y_OUT : OUT std_logic_vector(7 downto 0) + ); + END COMPONENT; + + + --Inputs + signal X_IN : std_logic_vector(7 downto 0) := "01010101"; + signal Y_IN : std_logic_vector(7 downto 0) := "10101010"; + signal SW : std_logic := '1'; + + --Outputs + signal X_OUT : std_logic_vector(7 downto 0); + signal Y_OUT : std_logic_vector(7 downto 0); + signal clock : std_logic; + -- No clocks detected in port list. Replace clock below with + -- appropriate port name + + constant clock_period : time := 10 ns; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: Swap PORT MAP ( + X_IN => X_IN, + Y_IN => Y_IN, + SW => SW, + X_OUT => X_OUT, + Y_OUT => Y_OUT + ); + + -- Clock process definitions + clock_process :process + begin + clock <= '0'; + wait for clock_period/2; + clock <= '1'; + wait for clock_period/2; + end process; + + + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 100 ns. + wait for 100 ns; + + wait for clock_period*10; + + -- insert stimulus here + + wait; + end process; + +END; diff --git a/SwapTest_isim_beh.exe b/SwapTest_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..11ae4f70553f0c5d3994a18a93c772b43d96d96f GIT binary patch literal 21792 zcmeHPe|%KMwV(WgltMNjXatliE^QFAWFcUJ3GT8RxN8@T5G?x8-DR^$R+HVhKOk5> z8k$78T^ITI{8(S#r?0&J(7wL*mG)T#YB3O!6zvbCYHj_6zwe4DR?9<*?)#p(Gs$Iz zzJ4D5XC|L}&zv*ooH=vm%-or|cXLO*cWHiJ9+N4bEoOu%We!d2MR14&3 z5?)SnWb1Pdjsp65W*C0apsSN)O$sNFc_dft(hqqfmCU9@j{z5^KH z4gyT!_Yuf_0b0Wwi6+W#y2@bKbd`f=B&;>aOhPGlE#%0~e>6!u=eC8{Ev%Z`)>P3J zjwCxPI;$5}EUdD{qqce6{!%EUCN5jCnyZ%n_=2ct7T^+G3a$ybWO9hi<$%O98`qV% zzKn~K#Q^LIz-nBTxW?gHgv*JG+BXjux#2uqlqTV#_RhpL7uO72lxlEYjB7C=NH$z{ zp8Lmcr^nOjGP0eLIvJTM0vRhH`G^wvhJ00qYa%X6({WvZ>movsq~E6^Z^bp9h)6UZ zD9yq3C7v_l?n2}*#dSU|3egH&m*AR@YXPn*TqU?_vu>uSI zZvSa)bI_29&^%VeAN38T0??@+Wcseid=t=2m;GDE^^`H?dcVPW(g61g`iEYFF5~zK z6r1%-6XWtZUhjC;aG8TEAYW5@4)#xi7v+3qP#M#?{tH-_XcthPsvtO#dgxleK@c56 z{h%0>)9&QQGtvJObhDjziwc4{bSe8`4t<(v@VzZ!@!O!WkUycj@z8wB>@IS>#zK9b! zmi9v0T<^C;y>mE!89OHQ%ki*L(3Ryzy?wwb^$YzD;Rk8YA(WZ*|BZ7qb|8nI5%fdQ zQ+kFte_84m_S5`BXpAgB@nyCrC=6XK_z&mU{~gfJXkZINd09F9#6!A9UEDwGn~LJ6kN}mqaC3L13eaOjj@hoB2GwCvV%Y(dJAiA2^q9D z@U@59ZkhVl;9ydf4&guovQM-t7jy%Q}@ z0Fp_<3+;h03^2qx!)?A*$#wCKa7g=#Kzj%+Te08@-!kttOPpSxZ-vih^R0_U6K&Bz zQz&N2+JYS&zDkjN&Z?!ASIk>F-(IsJ8oR|Ej)j7WXl&z>WOH*UR)3C47X)H0!KKh* zv?3T!`kKR$@HuL=S0>}3#&CNmnoOLlh4va46liM*BwCk7W1e`py)oEU&#sQHi~ClE z+mmepc*ED|@-Bz(eQR8+8UrooYX1E7;n0Sq;kJ+~nv9?Yyep&4i4B2R=sHAeI2t*d z0p7sIQ0%kl?RgZ;WCJNXS0~oU2F0Pta}A=k3C=<%HKFI50*QbTzr3NYXvfA_xTO`L zeNOR8p|u{Nb(Wr5mE%uS1R?;>wQ{??E!r~Ty|dXMhi5||cuSy#yZWs5N?xOLee2?J z;k0velYL$y*tRZ^2)2^B=i1Q=B2hH_T(#J%S_ARb@lfoVjs#+zf+iGc;?eQh3^4nK zrgbB|b8Zf-3CEWu!^@*hk5WE%jYbLG4Gx3b;E^;c zk=L1YNgZa{LLnpTB01WN(_Xg{*B^d*3sz8ttO@H4q9tbEi*fjof1sUh47_ z;B?xT%T(s_^DOD1J)ca9%g?h!pU!i}t=#438KPgxbH=UG<>wiqm-C!)t9JQ$hUjy7 z&bT>Tex4yZ`Bf&><>y(Vo6j;DO!O-_hjCkDqSJYZOn#T2XGxFTlMtcRaGy z7MGuAshhCX8BW_=ex4_~SekPD0hgacqKmHO_;#0{L!z0_Cw^$6izO)M+hL;1n4yAQ zCb~RRA$p&QejX7-`nAi?b5!qSo-=Mwy8Jvtba{S5gy&p-0^IhgJZIbvyZk&u{B*V? zlkW2KEYaop6A_NN`~{QOze+fCuuLDv|4cZA zYGwz=4-rlwn%U0r#|g(=#WLGCzMpU!4w){F?;(6F;T;_RIpMTx%J@0{Bf>Fvu}lNU zzf1Ty!W|s{7U4AYWGXp+FX1%hWE75ngYXH2mva2;gwv47Fpl3wI1Po&u}?sl6(gL6 zK;|&VZzh~VKl3EV*AYI6@IH>;KsXJ7%npvPB%DG&vz_Bt6HXzY*~alDgwt_irid~IMN!4CeyI()n(C8WJqZ2rFXdfL=s6#`vpVa>d#t*k` zqdrzsML)YtVQOz*B0u{i@8WId)K%xHQW#sUYHuV;k60noSFCD9Z_Ggu9D?u*$f&7O zwf9i+^}(Wxz?*llzqz@2n{jPht#;QfD~ASBaQ-fs$?F>b7<2lWN9#Z6%)WN8f22mT zDf|0GF}K7rgKdVXcp4phj1HK9>rZ@~&8n#>)5&NppR+YvX1EzM?t6*-F$%vU4^w

a6HrzL;S1r9MUCK5(+esQC~E3TeHKaf_Ib1e zmYx*(EL|5xWvaGs4~61hGEzTGOzFB13cT7Ydno3Of*};t_hxUOrKYA^?MKzrc~Gr_ z&EDtL-pUTB-TlS-elR&}-b=pX)!uxJ#)p3R6!gI|@QfIjxfy(LQ9n8{vls!drskv4 z1BiHgpZ@XRvRUqJeHDbaHE(l%bDpw~88t!nyF z>rw=)swJ#t_T%34_pJ_S(|W89P%V!XJq^ZYpl+c0FHwVjAr)qyxwaHff zA)*x&T2HsG)~at61?pq;9;bCfFskl_UA{m^*rsaL@auz^SyZiq+Z2zIP5OV35XNUG zitj?PbeQv3JPN)+#oCa5FCcnO)duyi8d-e?peJ2esP3MN(~g!(T6$~1W!7HJwO3h5+dHH!y`VrSZ#R@%dWuLhH83l>seoHiH#mW;_+$_7 zy2rpxN;UwZpT??tM*0cTrRIKGC3wJpT0dRMb$mi@7`q6n-_oxKCDV&}6a5xJb$TlZ z=pakaWtgiFAH-Bev~=AHlyh4!3FwtXOL?tjd*@Qer7l#pm-WA$5W@2@0jufOJhkRU zOV3~Nz*bWWuCppon6V#HXaC8Ve=R!;r*0{nr)Kx7HIFCX?>(eFY3aFyL?3`p2MfnA zT_cU@P3(($_J5Gm-lZ{Jju0MVG)P6a|1)u6M3?K=Qx1b2Ebk66+c1k!dJE@Epfrs^ zsEEm5uL2okrjDWjMRl9dP|R1$sYXHCZIBibY4ZV^qjpiRj7)u)my0%CiWa7({DcFz z#+_2sRE;P2f-`$S-S=97S};{FLtWjN*zim#fQSdYZcVXleJ`J}A-A=bw5ma5)KsIj z494hKew^A(Yanl`a6f-|SbA>NPRCx(&K1jI-Ez-F!9^*tbFFk#dshEpXu5(nY7*t z4mYu6_Yq}gVuod>d$OZ;=9a>matCSQRG=${EQ70lY)2y|D`;3epSlyZ7E z-Flq*e!`DXhK_P;m!y~HnQiTD#F#~UEj7Qumzj|1I<|gRb zyOyCJF_3=#SKfn`-be6+>blZq>4{-gi$OGz3%tmKZ*Yt)a|q?AeZ;NWZ`1YgyC*$) z>^95Je7GySzZU&xm^g{VKW$>aqR>o?p8S*}TFH{sgntKz;Rr30Sw{0kswhpg)Resd zUTr8<<_*4vwamWP3%mt0^-4o7j6#oAXw@#Ha82Q=aa*mHdoHBqNNU2>hKjENxKo#S zQSH%^(f3yzGFp<{isc6?`iCG+p-zqMEO+mZ#hU zOsumC%w!44ns%72@=si;FL~6HK1erU8nFPi9b4tM%V~!21Amjiu+;n8Nh* zhuQ3rUZ}hq>U1B9jnzyqax_i82#9{aidF-e-|%^VK>*4!D~$QoiAwbHVX+m+(X>>l zS3z;+1u&7l*O9%ng)!ENHeu_{AURVPz+6wt%k5lDb_V}~$x|DD%&A?Fc}r-RdYT4H z?{8?bFdSAwQA8>lxrz@irlyu=e!@F+!enUds$rJiwS2~&VCA0hTCwooWG&V|{tFr0 zXvKP?uyiK5s-NUBLzbc3s-|dz&$tLdWeae95R z{wVQj^`$W5ql*-_2TMY#C5aX7l&^rv`Ea4CtuEW6kZ7uC3R&M>%@UPRzMuS?UTe*B zb|1)BHSZK~RI9<~^%kzw{UYYC18RCQw+O;JPyxyMZ(oH1ta*P5LcJZ&{iPP)yQmHhw z_H2gkeVKp-1bp+}T3$mrj(O+5?3YstoXysy+3=gO=W_ zd2kjLp&hDLmbr@*q^8_I4H3Smd#FGSX4QRf73iV&Ku=Ggx8qpX^6)eQa~!9*iuFsW z%G8v#kW@8S=`IS)?nQaGFLClu1Ac`8i*TEeKshZHQh88P#PoMavF5&r0$L&2?tx-= zjdI7+(p&ouV+~O^g^Gp>X+S{zOZpGqg`=*c$9uZ&r=aQ&gJ4Wme?|^e`Y=NOw_)l5 zgZd)O)wc{&{|hnq-m)dH>iw3qR;(2sJl&QJ6v%4h@i+J{t< zZnNg=Pl4n?U)*&P&w(Xa=*@9!uX?hBThO6Lo<)0pJrzb{fr0~qA^m%#fM%9KcWIVo z(r`3&GJQ8iKreKK$Ugl`kkSV-S%u%(ES*FRKX!>g7-S0Re? zJ=&Pe$9x?-r3uA+C4dSoJID1LwAAn0l8G8{xod_x#2 zS?z{ka|@4_O=k%k?>@;T;mE{%8%X$3ThTY9{}{jk4nSB2*pP)DhUk18b8AEYdW0 zT{uFg3ZrS%1EU@o^}whHMm;dVZ)YjCx?y1EU_09%!)5 ztF$kytg+8$rfhgl!yJ1}%>sKRGi6D}_%wWxiAp~iU^tjFeqdtCiJzLtn$8S|e?*~_ z2WKl(X9WzJudE5<(~{216;C8+Q=DyW3jd`A&Vg{g5nGSbK&Z=`R&ZrCAe}Hb{VAJW z3;2D&1mMGfcLQ3V&t?w*KK*t!I~j+tuLE8VI2|-fdABvJWO<#XWB&D}@d}$Zer)kJ zlp5c@S}6lPRRrB7bnMK(iLC`J2i^Q#H1RazQb2Df4NFQ&@5!$(DO*yaEGa3!yC_|_ zwZL6cdFL2cNwvGg(M^ZnNF+yguK9B|%YRb_xn*361V}@)s--_@gf8UFfbe@Lv{__%|oG69WuVgdocI|`mUTz(FvZ`X*7><^)i z|7M*SOD8)xzod6gGH`kwMd<Mu%lB^$Zi=%{>3x4>W+$8yb zBXS#j|M?D1dQiZf0uBoJtblI{cwE3S7Z`Hq3-~1g7Yevk!0QBT7I3|QcM5pFfDa0| zQ@}w1pB3;;0gnqfrcBf?AaBIqxt+i(7ITv0$V@ZmXPS}G{HO;;JuvElQ4frIVAKPj z3lIG8a);4QxxQHDaxGHI@$aZ+D^)hTZJsi(va-7Jipnabd?j8_t9bLy=@knqXXD>i zoeQ`9Q}X{m%Ku@bE#6B1wjzL!D)2o;DAvJjcpo3K;kUsRi9idp;Wyf?%+|Cq65rTv zz(kDMS|Ul?dhtIB%!m(Vu~1up1VpZ*EkU~RV|!a7)QLO)*AKQ>l>dm@7Hai1$MDAA z*V+VGi7^}f`-wOzG{DV46hdd9Jsd>sQK-Sq#&sKd@Jo3J;nNiQ`r|W7w6CExqTDyI zdyRtr93}uL3ukav%LI2Ws0b{kOI_{q?{zhkul55^8)J}g9E=4IxWfi zC2=D%Brp9h|BseK$S)Fpl>Ca!2)G&0EHD2Lq5S`Zbe3dJx%J+f9ohjQfUXQn(k4Uo&9 zi~ksG6noNsx&N2{Px7#6zdY}e^3twfK-O%({J#nM5~Kbt1{l6ec?tLA$jkGe4woTv zcv#ZNNI41nK{nU#cl$Y`-)-V-ADSt@FNs!A+xU5_95d8Xb1N)q05CAeKT6RTN?+5Di(jyFc2E+uhCnc1!6& z@Pr{6iAOYw5jEjpNKnH;jTa4i^1wj}F?eg@K{S|{Xw>iLw=?ap>k=ve^EIXI0l0*KmQZyTodKr_?CQI<-4NN)TtDpvkL2VW1iQb z`|hdW@_oj<$H3Ajgww*E6?3eLN;yH8{{sT^Kpqm#PcKH{8C#zdl=K)xmN5n_FCEG^{8We&;FC`}I5Su4*Q z;yhwGtO*W$axtEic~{0#>tj!A<@rP$9y$84uWmlf&E@$@!DW`izShcfL7e55!=Ac4 z%-`ktS)4~LN5`*b9u~2}a_+Sp=EfRbo`NPfUAE+~e3WY})~Eh_PxvInkweV8p8`p6 zp!t@zk1Sk2Oa8e6{#F~OUI<-mt}yQUR_nTp=guw=xlvdptPOitz9pK}6HsF(tPgRT zIg;mP^*<&s2H!mr?S~&OKIXe3o^|Hak;bMa`w>FKg#7kLpsIfK8G^{hlJWhx!fhl z7IC@r;kt9n-bT4G*+`GL9nx@F6Pj-=%59L1>=2jp4TrY`sh-1P`8aR8#N|DKd%u9d zWeit`rE157cf?&OEoFEu(bV2?;eRZ|gx?fgB@Jhe)zmr4{a$uV_)DZ_X}G*CXlfVb zj>?V+Q#FVu4QH;^@S@y5WXFV=; zjpDABhRZh!mo-MYPg`z_xN8)H%X(KJs$UJE2wxIo-E~?fjEzlan+c zcQtf#n+%71i2Kf2tGl}=(`KGa1jgbFG}$(LvD~()`wH8>-Z@hz#J;iN-*Vg&jDv7a zZj{YB5avo=XD_^P?(Af2`obHXcPTuR*K0FgbbU9=cIz$F|2kp))%IsUOst2qS+5g! z2C-e+?ImXiac9tdXT;$WM-xXANAn({nJ1cBqp1~|yC2QDLnCesH#X;SKsYUj0rHoeiVK9>yt0N2I=VD;cou2PJejj(@WF6f&8HFWdh&p&m?oXjMvlM z-MwyY>*jRYFM7QPl7;8|pwv7xkTzbvQ1bRr z`(98=C$jneOeztNx3yL}Uvr$0M$dBC)imvDGEIAg79lP?FYFe!3)_TMO=g^)81hr4 zd@=O=zy6hp+U~u4Ldx?eTUg*_ZVvhC`RB zbXD@iIHk%r&KapivQEolp8sKuJ58dLFI0X4ZGK6cZ^jyZ{y=glqbd(4GC6aQpWuLu ze7Pix-&8iAda?4GDEP%pK5a^wLEr2TOd&A2z?1_s7?{9MnL+g)h-_GRR>t?QVix@% zpDmX%`CMhr#_KC*vS}~t_a{>agG{`|+u6LjCEnK39$(`XOZ%^V5|g>K&6O|O#_(7x zmoHggYDleof~_8Jj<|Ms1j<@4Ur6|~xtJQbdR_W5rBuG0E168L>5N0 z8k$78T^ITI{8(S#r?0&J(7wL*mG)T#YB3O!6zvbCYHj_6zwe4DR?9<*?)#p(Gs$Iz zzJ4D5XC|L}&zv*ooH=vm%-or|cXLO*cWHiJ9+N4bEoOu%We!d2MR14&3 z5?)SnWb1Pdjsp65W*C0apsSN)O$sNFc_dft(hqqfmCU9@j{z5^KH z4gyT!_Yuf_0b0Wwi6+W#y2@bKbd`f=B&;>aOhPGlE#%0~e>6!u=eC8{Ev%Z`)>P3J zjwCxPI;$5}EUdD{qqce6{!%EUCN5jCnyZ%n_=2ct7T^+G3a$ybWO9hi<$%O98`qV% zzKn~K#Q^LIz-nBTxW?gHgv*JG+BXjux#2uqlqTV#_RhpL7uO72lxlEYjB7C=NH$z{ zp8Lmcr^nOjGP0eLIvJTM0vRhH`G^wvhJ00qYa%X6({WvZ>movsq~E6^Z^bp9h)6UZ zD9yq3C7v_l?n2}*#dSU|3egH&m*AR@YXPn*TqU?_vu>uSI zZvSa)bI_29&^%VeAN38T0??@+Wcseid=t=2m;GDE^^`H?dcVPW(g61g`iEYFF5~zK z6r1%-6XWtZUhjC;aG8TEAYW5@4)#xi7v+3qP#M#?{tH-_XcthPsvtO#dgxleK@c56 z{h%0>)9&QQGtvJObhDjziwc4{bSe8`4t<(v@VzZ!@!O!WkUycj@z8wB>@IS>#zK9b! zmi9v0T<^C;y>mE!89OHQ%ki*L(3Ryzy?wwb^$YzD;Rk8YA(WZ*|BZ7qb|8nI5%fdQ zQ+kFte_84m_S5`BXpAgB@nyCrC=6XK_z&mU{~gfJXkZINd09F9#6!A9UEDwGn~LJ6kN}mqaC3L13eaOjj@hoB2GwCvV%Y(dJAiA2^q9D z@U@59ZkhVl;9ydf4&guovQM-t7jy%Q}@ z0Fp_<3+;h03^2qx!)?A*$#wCKa7g=#Kzj%+Te08@-!kttOPpSxZ-vih^R0_U6K&Bz zQz&N2+JYS&zDkjN&Z?!ASIk>F-(IsJ8oR|Ej)j7WXl&z>WOH*UR)3C47X)H0!KKh* zv?3T!`kKR$@HuL=S0>}3#&CNmnoOLlh4va46liM*BwCk7W1e`py)oEU&#sQHi~ClE z+mmepc*ED|@-Bz(eQR8+8UrooYX1E7;n0Sq;kJ+~nv9?Yyep&4i4B2R=sHAeI2t*d z0p7sIQ0%kl?RgZ;WCJNXS0~oU2F0Pta}A=k3C=<%HKFI50*QbTzr3NYXvfA_xTO`L zeNOR8p|u{Nb(Wr5mE%uS1R?;>wQ{??E!r~Ty|dXMhi5||cuSy#yZWs5N?xOLee2?J z;k0velYL$y*tRZ^2)2^B=i1Q=B2hH_T(#J%S_ARb@lfoVjs#+zf+iGc;?eQh3^4nK zrgbB|b8Zf-3CEWu!^@*hk5WE%jYbLG4Gx3b;E^;c zk=L1YNgZa{LLnpTB01WN(_Xg{*B^d*3sz8ttO@H4q9tbEi*fjof1sUh47_ z;B?xT%T(s_^DOD1J)ca9%g?h!pU!i}t=#438KPgxbH=UG<>wiqm-C!)t9JQ$hUjy7 z&bT>Tex4yZ`Bf&><>y(Vo6j;DO!O-_hjCkDqSJYZOn#T2XGxFTlMtcRaGy z7MGuAshhCX8BW_=ex4_~SekPD0hgacqKmHO_;#0{L!z0_Cw^$6izO)M+hL;1n4yAQ zCb~RRA$p&QejX7-`nAi?b5!qSo-=Mwy8Jvtba{S5gy&p-0^IhgJZIbvyZk&u{B*V? zlkW2KEYaop6A_NN`~{QOze+fCuuLDv|4cZA zYGwz=4-rlwn%U0r#|g(=#WLGCzMpU!4w){F?;(6F;T;_RIpMTx%J@0{Bf>Fvu}lNU zzf1Ty!W|s{7U4AYWGXp+FX1%hWE75ngYXH2mva2;gwv47Fpl3wI1Po&u}?sl6(gL6 zK;|&VZzh~VKl3EV*AYI6@IH>;KsXJ7%npvPB%DG&vz_Bt6HXzY*~alDgwt_irid~IMN!4CeyI()n(C8WJqZ2rFXdfL=s6#`vpVa>d#t*k` zqdrzsML)YtVQOz*B0u{i@8WId)K%xHQW#sUYHuV;k60noSFCD9Z_Ggu9D?u*$f&7O zwf9i+^}(Wxz?*llzqz@2n{jPht#;QfD~ASBaQ-fs$?F>b7<2lWN9#Z6%)WN8f22mT zDf|0GF}K7rgKdVXcp4phj1HK9>rZ@~&8n#>)5&NppR+YvX1EzM?t6*-F$%vU4^w

a6HrzL;S1r9MUCK5(+esQC~E3TeHKaf_Ib1e zmYx*(EL|5xWvaGs4~61hGEzTGOzFB13cT7Ydno3Of*};t_hxUOrKYA^?MKzrc~Gr_ z&EDtL-pUTB-TlS-elR&}-b=pX)!uxJ#)p3R6!gI|@QfIjxfy(LQ9n8{vls!drskv4 z1BiHgpZ@XRvRUqJeHDbaHE(l%bDpw~88t!nyF z>rw=)swJ#t_T%34_pJ_S(|W89P%V!XJq^ZYpl+c0FHwVjAr)qyxwaHff zA)*x&T2HsG)~at61?pq;9;bCfFskl_UA{m^*rsaL@auz^SyZiq+Z2zIP5OV35XNUG zitj?PbeQv3JPN)+#oCa5FCcnO)duyi8d-e?peJ2esP3MN(~g!(T6$~1W!7HJwO3h5+dHH!y`VrSZ#R@%dWuLhH83l>seoHiH#mW;_+$_7 zy2rpxN;UwZpT??tM*0cTrRIKGC3wJpT0dRMb$mi@7`q6n-_oxKCDV&}6a5xJb$TlZ z=pakaWtgiFAH-Bev~=AHlyh4!3FwtXOL?tjd*@Qer7l#pm-WA$5W@2@0jufOJhkRU zOV3~Nz*bWWuCppon6V#HXaC8Ve=R!;r*0{nr)Kx7HIFCX?>(eFY3aFyL?3`p2MfnA zT_cU@P3(($_J5Gm-lZ{Jju0MVG)P6a|1)u6M3?K=Qx1b2Ebk66+c1k!dJE@Epfrs^ zsEEm5uL2okrjDWjMRl9dP|R1$sYXHCZIBibY4ZV^qjpiRj7)u)my0%CiWa7({DcFz z#+_2sRE;P2f-`$S-S=97S};{FLtWjN*zim#fQSdYZcVXleJ`J}A-A=bw5ma5)KsIj z494hKew^A(Yanl`a6f-|SbA>NPRCx(&K1jI-Ez-F!9^*tbFFk#dshEpXu5(nY7*t z4mYu6_Yq}gVuod>d$OZ;=9a>matCSQRG=${EQ70lY)2y|D`;3epSlyZ7E z-Flq*e!`DXhK_P;m!y~HnQiTD#F#~UEj7Qumzj|1I<|gRb zyOyCJF_3=#SKfn`-be6+>blZq>4{-gi$OGz3%tmKZ*Yt)a|q?AeZ;NWZ`1YgyC*$) z>^95Je7GySzZU&xm^g{VKW$>aqR>o?p8S*}TFH{sgntKz;Rr30Sw{0kswhpg)Resd zUTr8<<_*4vwamWP3%mt0^-4o7j6#oAXw@#Ha82Q=aa*mHdoHBqNNU2>hKjENxKo#S zQSH%^(f3yzGFp<{isc6?`iCG+p-zqMEO+mZ#hU zOsumC%w!44ns%72@=si;FL~6HK1erU8nFPi9b4tM%V~!21Amjiu+;n8Nh* zhuQ3rUZ}hq>U1B9jnzyqax_i82#9{aidF-e-|%^VK>*4!D~$QoiAwbHVX+m+(X>>l zS3z;+1u&7l*O9%ng)!ENHeu_{AURVPz+6wt%k5lDb_V}~$x|DD%&A?Fc}r-RdYT4H z?{8?bFdSAwQA8>lxrz@irlyu=e!@F+!enUds$rJiwS2~&VCA0hTCwooWG&V|{tFr0 zXvKP?uyiK5s-NUBLzbc3s-|dz&$tLdWeae95R z{wVQj^`$W5ql*-_2TMY#C5aX7l&^rv`Ea4CtuEW6kZ7uC3R&M>%@UPRzMuS?UTe*B zb|1)BHSZK~RI9<~^%kzw{UYYC18RCQw+O;JPyxyMZ(oH1ta*P5LcJZ&{iPP)yQmHhw z_H2gkeVKp-1bp+}T3$mrj(O+5?3YstoXysy+3=gO=W_ zd2kjLp&hDLmbr@*q^8_I4H3Smd#FGSX4QRf73iV&Ku=Ggx8qpX^6)eQa~!9*iuFsW z%G8v#kW@8S=`IS)?nQaGFLClu1Ac`8i*TEeKshZHQh88P#PoMavF5&r0$L&2?tx-= zjdI7+(p&ouV+~O^g^Gp>X+S{zOZpGqg`=*c$9uZ&r=aQ&gJ4Wme?|^e`Y=NOw_)l5 zgZd)O)wc{&{|hnq-m)dH>iw3qR;(2sJl&QJ6v%4h@i+J{t< zZnNg=Pl4n?U)*&P&w(Xa=*@9!uX?hBThO6Lo<)0pJrzb{fr0~qA^m%#fM%9KcWIVo z(r`3&GJQ8iKreKK$Ugl`kkSV-S%u%(ES*FRKX!>g7-S0Re? zJ=&Pe$9x?-r3uA+C4dSoJID1LwAAn0l8G8{xod_x#2 zS?z{ka|@4_O=k%k?>@;T;mE{%8%X$3ThTY9{}{jk4nSB2*pP)DhUk18b8AEYdW0 zT{uFg3ZrS%1EU@o^}whHMm;dVZ)YjCx?y1EU_09%!)5 ztF$kytg+8$rfhgl!yJ1}%>sKRGi6D}_%wWxiAp~iU^tjFeqdtCiJzLtn$8S|e?*~_ z2WKl(X9WzJudE5<(~{216;C8+Q=DyW3jd`A&Vg{g5nGSbK&Z=`R&ZrCAe}Hb{VAJW z3;2D&1mMGfcLQ3V&t?w*KK*t!I~j+tuLE8VI2|-fdABvJWO<#XWB&D}@d}$Zer)kJ zlp5c@S}6lPRRrB7bnMK(iLC`J2i^Q#H1RazQb2Df4NFQ&@5!$(DO*yaEGa3!yC_|_ zwZL6cdFL2cNwvGg(M^ZnNF+yguK9B|%YRb_xn*361V}@)s--_@gf8UFfbe@Lv{__%|oG69WuVgdocI|`mUTz(FvZ`X*7><^)i z|7M*SOD8)xzod6gGH`kwMd<Mu%lB^$Zi=%{>3x4>W+$8yb zBXS#j|M?D1dQiZf0uBoJtblI{cwE3S7Z`Hq3-~1g7Yevk!0QBT7I3|QcM5pFfDa0| zQ@}w1pB3;;0gnqfrcBf?AaBIqxt+i(7ITv0$V@ZmXPS}G{HO;;JuvElQ4frIVAKPj z3lIG8a);4QxxQHDaxGHI@$aZ+D^)hTZJsi(va-7Jipnabd?j8_t9bLy=@knqXXD>i zoeQ`9Q}X{m%Ku@bE#6B1wjzL!D)2o;DAvJjcpo3K;kUsRi9idp;Wyf?%+|Cq65rTv zz(kDMS|Ul?dhtIB%!m(Vu~1up1VpZ*EkU~RV|!a7)QLO)*AKQ>l>dm@7Hai1$MDAA z*V+VGi7^}f`-wOzG{DV46hdd9Jsd>sQK-Sq#&sKd@Jo3J;nNiQ`r|W7w6CExqTDyI zdyRtr93}uL3ukav%LI2Ws0b{kOI_{q?{zhkul55^8)J}g9E=4IxWfi zC2=D%Brp9h|BseK$S)Fpl>Ca!2)G&0EHD2Lq5S`Zbe3dJx%J+f9ohjQfUXQn(k4Uo&9 zi~ksG6noNsx&N2{Px7#6zdY}e^3twfK-O%({J#nM5~Kbt1{l6ec?tLA$jkGe4woTv zcv#ZNNI41nK{nU#cl$Y`-)-V-ADSt@FNs!A+xU5_95d8Xb1N)1X7H(IoBw8@Vg<^2Sd zAp7lytS^9@wmnuu>B4qSP}uHg2?=urza->j%0(zga%Ou;Img#UXSto@>uS!ai`KWa zp3~}epW}9xG&hvknf!%dNKKq_!NrWV?2i%1k(?v(M`KC%fA_2P>Y2xXr}LhVh96yZ zhqvtb^>4gF_fE#2?0>bZCTB$Mw6TOc1%IdEuk+2@uV4Gd9rGua{(0w#zkH?p>L1)Q zzxzLDj;>kS{mgIT?-#uNr}qkS&RDS?q!}+i?vPBz>vAB{;TtpHZ_I%INe29?4EW8! z7vax%y$D1)d3I&MAB&DlM?WqDz7+T(W2MMnnt?u+0e@=-{Jk0Q8#CaeP?&V`zm$Rg z-3<7DWxyYwfxkNgepUv2C4tGrnv_X*f88!1xlf7Wk_tixHhw+Z>%6sh<9g~Oa8GAG&`i!_CsTV|okIZah_!;#iVbxSPL zoJvp=t*PRYG|4j2NCa())r9LB=0vN*Hk;d-6eb2Co1 zHBEuKRFhY6D;Trt#%nP^gc1WZwhL%n4wqe-Q4tK!s0dsT3``FSSs+wdX=!PAnvRn) zrMzt7q_DljUgDB7W^jmUle{RMljc7nApA@a$obiFa`b2lcHR>V^6so8G8S8$%u@WP zP=`;3BDgh5hyR9-zDS2J(&5MG@L$*ALjq5{VKS1}G#y^w#+f=i`Eq$x>hLmlp?kGD zygp8A)Zz7UN~;bpZ6fhmpu?l%lGh>~{+J|IQ95+^V|DmtI{YvlzEg)U(Bbdb;VnA+ zN*%sXhhL+^57*(lba=i0+pNPMr=#DZ!&6KpuWlXwc!>nuro*40!zXn36Lt7r9e$J! zze|TdNrw-$?aWK8gN7u|hdLyNT%#zVwkPv8_PI>A6e)eD=i=9LsvjxJ*HX!zZGE^- z-+eRkq*^^&SpJ`sCza~yV)?fzPpZ_jlI35gJgHDmC(FM~c~YI84wip`@}x373t0Xc z${$7fMwWkq@}xRFl`OxO@}x37(^&r3lqXf`@w5DclqVJGv9kP6DW6aIB9_00@}w#~ zg)DyuKVZ zu!-V`#3hjH!;TIb!;m&)YtH}ZFkNE`CMRK(Za^!ign z?nE+&s=NH@jk9OZF0q`t5KW+R6S{9gJydUO#2SWzY&n9S2G3FcSVa~Dk;64GwT!xXiwe!*{9{c+rh|Ly9>U}N2w9a%{G zQ6uIwN|=l#7G%db1KA*qcV)*o1DT$&e^IP>C;EVzKpnsuK7&%B_>i+;5BrLHFW<=2 za>plYND+4Qs#|vK6cl4Hqd~ETjN-;MWC}M*jtMzkCM0t=*wX@t|(4~ z)Vku{aLfoR6=g=~#+_p@+d}FpalCbLXm4r2`?OOo_TkT}aiguz>+sTh= zER9KgF3a9<{Zy?4m6G_{D2)B15TZVk04Sv zEK5Lr61HeNNT_D0ZtiKYMG7V=8G+^0O_qhb@oQdA6$j0aF)`F#XSY+r$NK1cwdY0L z#6a?aI@^Qt`ns+QcY_+v>zqR$#4`?_Jv9=CHRH)b1)yRM4 zwDq-r4yvuQu0Pb;8e+EfF`DQwvG+5ulxCmN#L}cN8cZ@e94BTCGqG`QV&l8$F58Au zFNIOw0HYkIsmgI7Z50uO+Ma@mUDb)CdP+#+g6yZIC4Px-zt41+(kYg<8wgn1bt#sX z#34)jAz&yT=ax3UjIbxE%bz7I7gIY$Yp1Mb#S?1#Z;?>9P_V?3*K<3&l-i)33_Dv6 z5%ik-854>1hc+B4%=jM*&aC>Oz)-(uX=hhaL(pT)&f3Xzx0Cdi6|@M4{7l(22=_iF(!F*Pg_L;9MsOhyr*I1#+P{no$(#fOX>^`yFhYwj%b2q z#ZcHvSm?2@Arj$6nTZLboDYVps0Jhp7Ui4HWxZ-y_%s-pmy>ib%uH@F%#`4rYKd1M z-Sblr7!B+}P}x;nWtm298j2~Qs8>N{pMuJ=LE<=c4YeCSA1d2U!>x|$SK0kCx5O{8 z_+1)+rIaqix-uCYbOT`w4wf^W`I^eQE)-wIRd&@fkZMC!rlW!t3l6A*xxz{{L2y9& zD}n+)m&+rNQ#TS>Q^VrfOJ@MVOzb0LCWbHDx%66x>@Z|OnmzJ<4r?cDVr32zT% zc>BvYNIwp7c)JEd=#{qi&Ez~ftioHawEGg?-tanb9W-G0>D7liVE8u(ZzsHR7-(w z^w?$F&+Rp0l-TxXrdX6tG0@^k?}KPghJy@RoW#|RR^wt{jd*CMSXMlv#y68-Y)5T_ z*iGY4@#)mU9@MB;?T7zD62w_)+D&6zX*Z2ptkwD@M1vVx! zom$YHQ;5@G1+}s4bON>>XiPOL9aBhbg$$&JBq!}6#7R8MiX7T0dnGvbt$_NW*o>gA zGj1pB0xr|J1?ntIyajxFo=0;3wiEWEkW5vfsMr8wyTO}Gs4l6(bdYAR3dxQSh_g7< z_D$3i#DbtWS;G|ai=C{w@K3}v<5`7A2T@3_I@n9&3dwkAqjVd+)YD8Y&uyoq*fV3h zsBELR8g=Vc^EeE;eo4ku^MD+vq0OT#4%BGuBveqN4Kc2oXAM-%sV|^aDHe69P(gNr z=37^asFv+_FFYDG52lIN-`evQb(3D#*%r^iK0&h=4gRuC>i%y>#J3Ep!3V*lK7<R={y{}uAHKMbmn2i2q&K9{PRUC1B)CUy6-gPPP&FFDjE z)ys}~ts;j6k529w=evT7+BYFJ;3VySTGswUwsMP%)KkS9BO4I!#>v-jqO%r=clEIW z`JQyK!TrGF)U7t8bb1krcqwef(dqp=e>?W~Nefq|miHgAp`IXl2!<>{c6wDDV#VoH zE95U%Yx6Cums3YAnmH1rB(J8ZEKY=*rDo9)GV+$%NtP8`RCZ*QPKP~l{nhLQZk(Ee z17)u!><~k5cwc8?rFkmGDxnj&G-6rBvIi<~9si6`m6@5P^R@pGiXUHjaf&LB&KMnt zkm=T*e^Cn%9WeJoXU15xk&F%$e!e!t-JBguGnKWhjOnKHoE1NIr zDDRCBL9f2Hr`W98MF#zFI?79Lt?k>x;3-cEvrKI{a+LP~9p&Bd1nI{iei-~LgwQMP z{Ef+ZbXYwM=1RLS4}y=rJH3D$Znpy%&JFNg-Xi z+P;hd_TUhKF%FWm@Jc(W|JAfLpfJ8^u_%dyb`b&Iv?$kp*^N|L+wbxNS}ER+W-$~P zb`ddu@gg8!yy!^c@T8Oo)XDkf@yB|R3T!%x!t#I`&Mk{ip;zZ9rsWcp#V?^Z;HFUl z#NxbV`}6#`T-}|xZ+&0iRJ=ErJmB65wlL%`u{8nfAV@zB!5rR<96qWyiAbX!fUAhqIfOaa2$zw>fnA{ToHA?I_s$6@l6*wNC zQ~Owez62ubr%s@YSe&TE*?Sb4cnJ?(I1?q*6VwZG)ye&D#-U5oy%|T%M6VX3VLj}; zzP6NFhkkVu>g&|tWY>=T4@Fr^Z;n1b5kH9q5UA%8P-t;#nq|e){Q0@YS{lza;|)N% zq4Fh6T)xRrOBKZVI!kS@M6O(A4Tk<~p?lgLeEP9y9ML%;%eCLoo6>0B8szxPAf$Qx zWe{Sie-m%WO(1@WM=8 zMPqU{9^$ig6<;J6>Y%P;kIT($5~)w)HROB?if+S=&T2&A4qlD1}P&B=GjDaXFDXvq^jmABj??K8IONEh|^~td0lh^^gQG+Nl2L z}FUdezk<_$3}+N7}_kqYVGhL2|NFXJNj+iXJFeSBY6p z{RZUUfrO@XlRRoWpXKZ+1^z_^Hp~CW2-tg48Xnl+2SRNXd%0!Rd@3ZC<)bVsR?$6` z>5X`1yh|eVX8JBQc_ddUe+X3~@*cW>jeb@mKa2{6@RC|ms>8M0b zo}E;fy`*zL66__N6Q{(B-z?3+znnqu>X1q?Yk@SiK4~CGZ7d7l##A?Rb!w+RPBjpO z@wK-SC3}3;vo5n`=mZr;oRkEXfjYC_=aX#GI;8jcB${!mQu{d$?IeQ1eEt?9Hu!u} z!sN@AL@=1o?;>LM3cc|8GpPXieAcr4_4zCR&*1Z^XE5W_`h4mq+{@PIlgQ-r>3ufo z^A{s6eLfpvdJd=a`3rEL`+RC2^ZCmmqTUQlU6bnbSv7bT$Hq2WpHI!iY}fmIYMsI7 zi>_UgGynlusl;LkBz!(Kjrsg;l9siU8vwdt^!bfcL5cx@&!+}Mf2gK%Z7p~2Y`;CI z{fC%jLH5rCP-*>KKVvm&NSW(w4zLG-%)M`8oS8A07)9Q*z7_g z?R%rtOTxWV1;V|vH|=O_Eek#PH7{pl&KySSl%;+;x1EjrZ9`x~;nS(ko;>^*eR?ar zaY$v6CT4CeC@?%!KlkaKNXc27-ltO|j6Qu)5{KR;g28r>5mc=K7Aq5a1z@H zMLwPGsjC)Hww=-gd^$Ci`SdN2R6m&)JW8C5KAqJ`M@4Fhah69#sIdm0PE9rVbkWz- zQm6E9AeQjyTz>fUtt2yR4xiGjkp`dMN);rhG@IaJ@u1zo-8b9k7pi8A*r~KWVxRGA zv^M4NNjo))+;>r$H(`rGE?f@_2bf0=YWPP@^nn3$-~aj*IH$UA>YGgN`xzonao;o| zgSl^J07xw?3y;CCnX3!?$52uY0QIV`om9ob)-*kT&Fr(cfC?izlYt}abovKtXr2Dq z3WLw4q0Hp7m+^bq`s|e;KLG3W9*C%)=6A15_1UZ%^4T=D+4^iToAo}MT4(UtOlfZ1 zWJ#Ui-4N)@T&L4Nm4@c6fqceUPc}_I>#uwmU2uTb>BEWFk#+iDKAnc-tFTT#;TL4x z2l{kU`2jw?gCh^kI{mYUQYZT_nB+#EPM+-GuG618Rj$)nn7$oieJSg7HrMxQoqqL$ zn5HSQ`=IOeb3vZvI=xVE&T^gp+5_BP99gHcQ?DuuNe;(#dfxp8pHCh%lh3EAhI`ri z{4ScAUwobZ(f_8JfuBKS{j+&i%|TtK-B${6`Rsi&G}!3V$t5PAy%WJ(eQ&IdJRrF_2Ur zz;`prjXs^#c~IBs7o<+<;Sl3MuhY5v-j{XyLqCN!Wxr0J5ArP6=@${_?APh15&4mI zI?Z&$vvoH68BRQV8SL3Q`O=hU>(j_weBu4{icW*i7Bf2Svvm>HXX~>`e*O7Jlzr#Ac{@(OH z{qS3-S3pwzWY1krk{f+G#UclHo&F3yLZ>@IGXY|KDeH7L*Y|0i{=_|)rrEF4?*w_4 z>-1W|Im>mrkH}LTJq^=f59DFgDCj-1pPu0i9j!)FeEv)zzW9E6!>3sUZU#Evsr*srz9q4sBci;Q6PB-6)X_);wed`_6ckC$F-uBZU z0O>xg)2}1)6!%RdGMM}RV*BahJ7jU!5`2^mAKTgkkZ@7+;u9`7rASHKO=O7%HS&t7^D2W?Tv^@SlAuOEA9S5Sn-&0ZGN$pzWB9~fAx&F@bTl(Li*-h;$E-`X>HUr$cq%U zl{YPVqG;Mk50G4q`B2?P!eAN0g>9V&6Z*D8yHcVvI}0r{%VlYo`}N>T>n1_1`AJ-(aQJb)yv_ zZ3=qD?|n)!C3XT$G=8F4&uKQJYhm=nq$EBP?C4a=Zr%Gu`bPDbvqG{$dsZyE~ z^94;A(Ok%Bj8e`NH0Kk|*+eryN|!-OAD8kJA?0_d{C_r8Fh-1;wd7Kw`9Dr$l(I|ETt+l^63qZ9musng`QIQ#U*25E z`;xx?p172AH;(u$!Tk!Nad8@>l;09GR}#%|PGgkvWI=Nk(Y!cFabvO%wHaiN`n6)niVK6ZQCrrjMA2)@G>ZGnF;nP46|J zo-|*Y(|?V$qvHemTB!bep~-L{6hG(t1?Y(Q=`jL^;DCh=Z9Bc?W48y?&+*;D(1y>= zW$KFw2MN&rPHeR8uk^iIoLMW!M-A2Y0(~R@7HZpwZ<_9CdOHjEEX1U9!R+&-A#F(S zup)e{b5~#Nq;=LMmG~Sk+yy?9nuqVKYWQC2uD+J{$!FpVs5}Nr+)n*PUX+3Y*e2a| zO+(Z7!c}3r-Q~5~ZBDB@Yuai-Y8aO&zI{HPJ}KTX zw=r55sVTvSze@;5WykBko?XJfZZ7I(g%K9>08?#my@v_pRAw+pLSP7 zThsEBU9t~yrR}qQl#3e9WXt)Q`P^I#4aG1Ep*lrKkEUS*JQ=#*0T=+hAFvs)3-BJm zZop>&djUTH%!7kG9hRX8@SA{Mz$*b~0$u~y2)Gz<5#Y}OI|0`L(&s?`47dgGZNLOz zF6^m-;6)=t4LAZmhQ8Z64v>Pr&48N$&x7ku0Ime21ZJI7xtijz#qSZ`T=LYkNN>C zK0^I4a`OR;0I&NP^nkkn8v%w6YI#wgIDy{{ z{5J@RZfG-Ic#Lca$wi+;rgHl4-4nhJ_&x(a!Y>5=vo!Sg0>38>{iDEtnuh*a;EnPU z|2KgrdG+$pn0*F3)q4xlG;X)kxZOtM7SEknFlI50+s#7*1>Ux!uI2-WTZVyS;}2VK zE^Npb;X`sR2A}J|M=vM!_kF<62mW4?ll8aho&wA#y6FCsxW5bcV}zIitux(hZp*D> zV?y`e#Qk#EwsiN$;eIY`Pbcm_3_7molZFkgYCCH2(D;zsa&I@A<2lD*S};u|dMD^l zfsM+Rz6SL7!d5+^=U=Pie@80+yFh;`Y}%Gg^mnDwKMDHvSOsS*&xfF&02`SteQ_>q z_TAabUjh1WVS_1K`4@uzl^&!eEf;=i+}e-rdC|4;VvkA$zd5~sehl|Kmj&+qT+ zTcRH?z5e_>b-ZGrzv`jBz9%x#uS})C5A?n8N!jw>3i^xSyRzk<3!nD}eB3`X$@6Tg zJWkO648Aa1`Wn#BfzQlZ|3UvYd~3G-|0L*dg%5ridYzoVrYX7!_F}RDG=Dz?y%YZo z#>vo&B)xt^=TyY=!6!ha#?o1V>H{zpMS zdQ0~5zX|%GTeFvcBy4NPi`mN`1pQ_B7vi(+{}||VU(H_r`#}Hh>)Fe{74)rdWhX!R zom|9R!M72cA*}=p3ajB}t$l_o!a z6@|KluEL>y1~GNnwbJBg5MeO8J{9~$SgVW@czx_fD4LRVS?{c5Q1;Onk)Zyg3sZ(& zCkohmw4bFUzE$M;s6gKY9lDrZvVLqiu=dOp^yo&Gm;HlbWchq?Ulc#&pcV`Lbk}4t zZr>Gfwt({lyjj2>3ixvYR}1)*fPWG2Edf6kaOf~UtM&u|&k)cp;A8>6E8uJa=LvYT zfIk%Q=K`i{iyk-5!s|V&ki&}vY!mQ70skhTc{rzY30OOi=O1q3@UD6e*IvzG*K7`l zR&%)XDh@|n&f%y_IJ{~GhrtUuy!tyF-V@^RAEg|gFY5hhBF~%s9L^Q^zyzLO`ArVr z^>BEli^I5`!e6>#`z{Qh_W*NbugyMPZ0x|IUgKObNcN9eqZpp zQ9#9TJvWilOL&LKuQcHIc3;VGb%}W;;X;xBsR6&Y`x)3PQPD05FBkb)2K?UcOa8Zh z-_MeZujBCA8#%Pj=WxoTN#86QGv$Jd#}+wDoF$$jyVYj5I&6-jG1DV8MWLz~$Dd>K zj8&A9=4!bLMMOyJY8yVbd zsHuuoDJ7BG@a(3lxsh;f4a&-#Qc@jjXlh1<99&(En^b_fqb!r8YHqX|wKsq#eo9zH zoawA)6vgx8xsm!9>(ejt^EDJE-!9_{#pFj0mq5_-2>m7F0Ezns5=Y@rmLF~MBZs(8 zAc|P7|0lOU$x=4_>dT*J@-zH-qCCZc`pZ~8h?{tP*dXh#H2Hy{c#Hw1oP%UsCgUH0 zRxUu|Sp3QID@}gn@Jv%6Dn$TBaU8W>mLEk#xM-)2NMv~#_Z3nBTr$q%x&Am)=C4A6 zVk}u+##Q+uFbfGn8COa=3BQN?6tl^^jN9mWEnP+I7waiNz5D{LT!#epsVpz!#8y$h zRS?ShjqP7(C@56f5!5+0Y`F)?n=hlqlg%n>}Q_ivdT0;6@U8j)_gyq z6{{$}fNtt8sXv7RcNd_({7MmjtsKS)*0ksfBPq*C>^(^6%gc2^Z5yX3kc0xt`X#y( z2`ZoL|298zh|fj&Wpq<_$^Mh5`;pL>FKqX-TZQeMg7!@Gm$ClUxT!Bc>J~pEA9V{a zHBv5Ecs4nHBCB*ELFL6XQE0=BF6$Bo2K1Lv{!Jj$m-mbE{w&KsXDB~Sl%FQb8|yRX z|70jXQ%HMfpx@94@KfvVN&YJ-DZDf8L#yM6TrB$$&D3gXFI) zFX6kmqc3k2<*lOpmSjnukmV%V(jtCADa#K6^SP?#cy?f>~4N#Z5j-@B}m-Rxb) zfa2vK`77Hk5%jMqlKfVQ5Kxvs$ABkVx+ENqw5*%m5IOH{hTWOubHGX`3KxI!&*o`tG{W6B+`GT z;&YSFCsOf4;OG2$6ib_-tCkE>!AKENzCDl=EJH)2y7NBXXS3Ow;?H1NqJ|3b!RgyI!`09kgCInRMUj9KF62=V(9 zh94;ZL%>tLR)fB606ty6y^#U`PsaZwWr1i{2zk0b#a|A_xARAplui0s+8ZYPGy{H^ zz!w?tCkTA+4^#OR34Eu(%X-fec)tNpd(2etwxyhpr2m${7YV;|Kk{_Zu{EMs4Dwtg z@P!8aWdd(C;Hw4RZ@||He5C>3BJhm{{0#!Xz<|F+;5!U>I&(nscL}^~@7)4lWEiiX z0-tW&e=F#>2zu!*o&=usN3NIm@NQGKGx~nJktgsyf?lq(zc28|z`3QXw-|UfUWR(d z0nhYStjpOme(;j@R*HEc>zyj-<$9hy;|F~`@Hi2pj5ExmA7sGa0ensxJ4WYua+HDg z@K?Z(K>x_+glzAS-*JT^5rg=7b0+{sEQ${mbeWOQJq;3J<0vprYbe}nOPzt8B`-V^lld9#$K7y&uS zIdX)baTm)S`zNv= zybNz(g6s@IFZV5E|KBX|az97fpS2nIY{`IsUGS0nGqPPL;$axc*?E(n38k`MMLAR8 z<$er1+ktzR15f%^DD+MGuNp=#3hO{S!w-z}HNju*r^s>d5_q|P^bNu5LxGq3RkAU&+jwfw+TLSA5H4R@d$0x z&7&fQAEwCtCaJd<3%uOFllrho;N`xR9LL85UhWT`Ddc~j;YCIVhT%cgaMN*0Xi23G zi?g-B7p1Y!rvp!VBKO~f zzuttqWLGbv7a2Xk#G!iSzUyvbe_R4D_eW)%Ge_X%zNNH#_Xxb)kCk>_sjjN43(uL` zP*3O6n_^0JQ>;1Gf)oAKN;o{J;=<|S^0FBf;V^Q+l-&7~bhm06!gJ~xW>wXN+1^FC zs-;z-qwRH(7|yo49WJ{wLl02$D;4FN2ZdJ4%%}6;+U#-;FSxY=FkCP|7J_k$qtX3~ixV#>guvu+xw@BFq zmCfpKaWb3LX|*cNkrE_qvVhfRhL&$wJW3u849}b+J@I_bK^gHkHh7&>&gvykAu}^ zb2}YApV#MgI6PL5%ZH)$=n9E>l)kLP=|e?cm(T05xg8F?Fru$7%ytRG;kxLo>Tq>) z3(vPUN5ipe8zbT7NGx0vnO)UV7Yom;s%wd4Xp_z5_Bg!`yVK?HdXaK^tUjH9=_TuL z6NF2 z)9rABl~>0neG#wQM|Q>O#He{ZDB{tN0@dBv)KDF1ZVq2lg?-rcOdMX6_WEq-BD>q_ zbh%x7t=DFEVE@@}vtquweJ-ohn?)gy&u4RZJzkF$9Y$N&PJQQw!?c4d6o(|oTQ_y# z>e@*4_rgt8^>ZTOhS``oHIdc~&9K{`h7K1r9zBRRkR1AEgu~bw9#ku_qYm9iL%ddx z&4!V;x%J|u?>f8N=CgV6pWOAT(IaQNI_JGv%ip0U1;%!xMRqy$+y%%3?pr4Yue zY1J*UNHcn#ois?#+6JC(ObeUC?XY4N+gt-QqDeC6ue7D-WB0hxk#?9a$mnz1VPkbe zkRl^vK(E%-HOz@t4xLn2 z)!d8$%EU<4ZY6EO3ybc(n^&F4c8}L?_c;g7OF5i#qxCShk?L>@op?zvM8BhjPqsVU zK7DtlFCv_!8^#t!-i=U4UxtQ2co(!@dc+j{h&423?h~66+GT|&hV8PsoG|M;HPiWE zc8VvxfF4*CpUnjfft*+u4;nl&3Na`wOk}~m-#?|iY~rM_y~JMPV%70_+$`vWq$`OJD6xOELnn9FNF7fzsOBa%)ugC3nc;M`azYC4==zB1I z36jj^bX(DQkJsU}LZfx9K@`;7fJm{vrY_UCdEgrnp*T>|M?NMc@J`XTrbu%`T?@qv z;n^+q)huvGAz(8zy_j~J&Es%7;UBS}LlgkRtrHWmOm*Y6sj5dWPlu<4F+_;%&^(`9 zE2VvBdhqFv=$%4$tBnkc-RpGh-B!b#y3rvvj5pS&5M3v3xa>m2el@VrEzOY{Hc8kT ztR_OnGt>N_B@TQAh6syNm)#0GqT`vN+u$MGcop7hw;^`Ix@xZ_`n|Fpp}Y-&@m`B0 RgDos@oOVS0K97Dz{6E)znBf2b literal 0 HcmV?d00001 diff --git a/isim/FullAdderTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/FullAdderTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg new file mode 100644 index 0000000000000000000000000000000000000000..1f5a98472ea3c64869bc233c25e569c18d11b73d GIT binary patch literal 4134 zcmcgtOKenC82+oG6{(8i0}FDYv?C(DGxQ~;BCWJ3SdB>m>w`?D_uh7HoSECqz0;H? z3JW)yuyLp9f(67xq6=ReN$kp)#>5Q^!^iRI|U*Gx9 ze;#x0XDy3=e>dWtxv{dcvLGVInnZ5gRma}DMNGJxM6NZ9oZOt??JXi54~s+(h-`tq z1GpD;pjHJX8wA5;8(^vEBhX8jhw>r}vbNO7RfGY&b&-a>8ygaPWqz}V8jH?4?U0OQ>%&pn}V z2z#Y4Ptmri7bn^_wS(LR3<5n&LUbMO8Nq$j!ZlE|W{<3M0pk|{&b2*eG>&7OT-IW5 zJnOVZNJcT?7;qYRQE_xXC?EI6z2kn-*M00)KF`MjY8d}M@cB8B7vOU)o{<*zLtAU^ zA;r`d{EtxMqN;NQ{zi2;7sb>T{4Y@BOMr3$pt)W@T-Sp@T3d)+#lS^X=VkaC)zSN8 zY7CPTDBcUr_2{>ed%^uw<>NO5u8e`(2Tg7Z1CTVAZy)7VaG!@JmusM;xqNphqzYEm7o0?1h*MJ55gX95da<(bW`)_i8fo}oL7}yC-?qf>xZkXI<_!h7g zTpOC)$Cc*!ncP3&TR8lBZT%jyzG<61+^Nla6y6io;Jd8f^;@yoXPX+!JBj#4Pe0j}WRJPe6l#L^jKcGx=yT^8QhnKb zdhY^BAD)>Tb}-ES^s8@wYq5(#*|Yu7v#0$+rQ+Mihlhvzdb$T)*Q?qiwNfdzPI_U~ zIa_qa4rU^I!Y}!iIXlPcU(-UKo^Wksnl&uEn0L`6bsbdD8{wZ0P5*_G_I7 zv$@{vp*aFY_1e;(>oL540buvCsQ>@~ literal 0 HcmV?d00001 diff --git a/isim/FullAdderTest_isim_beh.exe.sim/isimcrash.log b/isim/FullAdderTest_isim_beh.exe.sim/isimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/isim/FullAdderTest_isim_beh.exe.sim/isimkernel.log b/isim/FullAdderTest_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..0832383 --- /dev/null +++ b/isim/FullAdderTest_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,28 @@ +Command line: + FullAdderTest_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 51967 + +Tue Aug 27 15:05:31 2019 + + + Elaboration Time: 0.11 sec + + Current Memory Usage: 198.607 Meg + + Total Signals : 11 + Total Nets : 6 + Total Signal Drivers : 6 + Total Blocks : 3 + Total Primitive Blocks : 2 + Total Processes : 4 + Total Traceable Variables : 9 + Total Scalar Nets and Variables : 367 + + Total Simulation Time: 0.15 sec + + Current Memory Usage: 276.206 Meg + +Tue Aug 27 15:08:11 2019 + diff --git a/isim/FullAdderTest_isim_beh.exe.sim/netId.dat b/isim/FullAdderTest_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000000000000000000000000000000000000..0ad1d5fbb916af90be538bd209a2afeb133e90a1 GIT binary patch literal 60 scmWm4xedS|5CFmBvFOMKOilD3GORS&wupGSeIz=WL19w)d01@Y0X6Re6#xJL literal 0 HcmV?d00001 diff --git a/isim/FullAdderTest_isim_beh.exe.sim/tmp_save/_1 b/isim/FullAdderTest_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000000000000000000000000000000000000..9a8e7362c15bc29c19ff7147ca1fc7fd93642083 GIT binary patch literal 1322 zcmb_ayH3ME5cJ!;ihP1QI&l6V6$KTL0t%!kk1H&KFLIm^@kM+NzeC5&`W&BekU=og zo;Q1QGugd+7-!GPc)C@WcWPbgLM3T>kq(nnr-F-*=W-?ZZCAWb#Wxjae=6uy!cHY> z=}-fR5sjTG9vvzkn_^>n(y5@}kVnvNfGE^jFE?eT^GP|KE!8bI_izmy*K<9O-Bo0Gv)qvnVg67kFVLNerGD6H4CgMUTj|TWDPSqCwL?4GzZwSsv z7q*eZ=^@YnRH_CS;NYgqu literal 0 HcmV?d00001 diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.c b/isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.c new file mode 100644 index 0000000..ae6b199 --- /dev/null +++ b/isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.c @@ -0,0 +1,40 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +#include "xsi.h" + +struct XSI_INFO xsi_info; + +char *IEEE_P_2592010699; +char *STD_STANDARD; + + +int main(int argc, char **argv) +{ + xsi_init_design(argc, argv); + xsi_register_info(&xsi_info); + + xsi_register_min_prec_unit(-12); + ieee_p_2592010699_init(); + work_a_1130988942_2801528920_init(); + work_a_2258021406_2372691052_init(); + + + xsi_register_tops("work_a_2258021406_2372691052"); + + IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); + xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); + STD_STANDARD = xsi_get_engine_memory("std_standard"); + + return xsi_run_simulation(argc, argv); + +} diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.lin64.o b/isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..3c2c910eb203daf12b6cb8b9cb89bc54b300c773 GIT binary patch literal 2776 zcmbW3U2hvj6o$ukp{5j*mQn%*$pVC2sabY6j$)shJC7r;F-|we_u}4@%0%nWXP@o@BPU zXf5UoTcVq9IAP2etmVs?A=!o4vDAlKEH#=-T{?R@`vvXU^TeN)ZC}CHa&w=gYq|M@ z%vvs={wz07pq9%rBe*pPoo(`=ANySu`J(R_p%{hC=sKapjO`#`hCf6Fa?7xo(HRZ~ z!Xt?{423~+U!e7LZjuh!Vwdmu&OpeS+`r3HDqe*LwJxf%9<4Fab}A7gyhKH3;Dv|q z%h=cQJ$sm9gjqnc`Pi`+$b)BOUAdoBpm}|)E7XoY?>FC~T#Rp1Ntzn}f# z{J%J#Sg$${mPtQ1rH|KU@EgR>vA-rNNBNx@`q2#j z$Nbe3P`)rjzd1wy#tc1M-|zQp-LCN4A_%z~xC6c|b`3ESeBijA(P2h&)x-~74$W1Y zfik|)ytYzn@@wnst$LfcYb(tL#|VMYB>aSBf^b%ciwtm+A67rkHwH#|ljFa30}sFNkK=6CoE~&-G}@ zVleb?vCVqD&RrdmmSQf7o+7+_FkV)@rZuR=aj}t+urmuXo?$w43{VCv=CN zdZ0KLY_CG=5kZu3~ literal 0 HcmV?d00001 diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.c b/isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.c new file mode 100644 index 0000000..7e57773 --- /dev/null +++ b/isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.c @@ -0,0 +1,151 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/FullAdder.vhd"; +extern char *IEEE_P_2592010699; + +unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768497506413324_503743352(char *, unsigned char , unsigned char ); + + +static void work_a_1130988942_2801528920_p_0(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + unsigned char t5; + unsigned char t6; + char *t7; + unsigned char t8; + unsigned char t9; + char *t10; + char *t11; + char *t12; + char *t13; + char *t14; + +LAB0: xsi_set_current_line(14, ng0); + +LAB3: t1 = (t0 + 1352U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 1032U); + t4 = *((char **)t1); + t5 = *((unsigned char *)t4); + t6 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t3, t5); + t1 = (t0 + 1192U); + t7 = *((char **)t1); + t8 = *((unsigned char *)t7); + t9 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t6, t8); + t1 = (t0 + 3488); + t10 = (t1 + 56U); + t11 = *((char **)t10); + t12 = (t11 + 56U); + t13 = *((char **)t12); + *((unsigned char *)t13) = t9; + xsi_driver_first_trans_fast_port(t1); + +LAB2: t14 = (t0 + 3392); + *((int *)t14) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_1130988942_2801528920_p_1(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + unsigned char t5; + unsigned char t6; + char *t7; + unsigned char t8; + char *t9; + unsigned char t10; + unsigned char t11; + unsigned char t12; + char *t13; + unsigned char t14; + char *t15; + unsigned char t16; + unsigned char t17; + unsigned char t18; + char *t19; + char *t20; + char *t21; + char *t22; + char *t23; + +LAB0: xsi_set_current_line(15, ng0); + +LAB3: t1 = (t0 + 1352U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 1032U); + t4 = *((char **)t1); + t5 = *((unsigned char *)t4); + t6 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t5); + t1 = (t0 + 1352U); + t7 = *((char **)t1); + t8 = *((unsigned char *)t7); + t1 = (t0 + 1192U); + t9 = *((char **)t1); + t10 = *((unsigned char *)t9); + t11 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t8, t10); + t12 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t6, t11); + t1 = (t0 + 1032U); + t13 = *((char **)t1); + t14 = *((unsigned char *)t13); + t1 = (t0 + 1192U); + t15 = *((char **)t1); + t16 = *((unsigned char *)t15); + t17 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t14, t16); + t18 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t12, t17); + t1 = (t0 + 3552); + t19 = (t1 + 56U); + t20 = *((char **)t19); + t21 = (t20 + 56U); + t22 = *((char **)t21); + *((unsigned char *)t22) = t18; + xsi_driver_first_trans_fast_port(t1); + +LAB2: t23 = (t0 + 3408); + *((int *)t23) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + + +extern void work_a_1130988942_2801528920_init() +{ + static char *pe[] = {(void *)work_a_1130988942_2801528920_p_0,(void *)work_a_1130988942_2801528920_p_1}; + xsi_register_didat("work_a_1130988942_2801528920", "isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.didat"); + xsi_register_executes(pe); +} diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.didat b/isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.didat new file mode 100644 index 0000000000000000000000000000000000000000..e695974ec28740490b27627c5801ad8dca2c4b24 GIT binary patch literal 3292 zcmeHJJ#Q015FNh15}-h$NkNg?XB!)QR7Ap2h$z#dlku&%6N!!NO9&DO3JMA&8cP3w z2&JS!1c{%35Cuib6d+o6Aer~hJC0+YjSo?{$dlg9?(CbH{kU7*+u2XQ-Y=TF0(?2e zr^qqz#Zi$~AAj3+0fX}=MFMae{0z3iz2hP~Cq!mWV;pRO-$6MeQUI606)*x@;1)=< zsrd^oK|62tDt&%dMEMf!Hpum#rfC4@^8xJc%f+E7b^X|} zX9tJqe+}|IBeW#eq!gQe%eW(d-)9k7Z9**^QfQp5YxE>{6+}9 z)4DzVW`_NGmV^4Vc}D3+<=1y{u0;4@ z59ZQ!O&D@0n}QwSKLEkA<9*7zuo?MYGpf}5O2Zc?sIM6(Y#Qf&SgF-Q=XSYVzFsUW z_`Yd4i|ebaaVxVCq|^2yrPo?$R09c(G2TNjUv%@?T=tslrkcTKD)r-3>Z%vkQUx?q zsjFUnJxUdEBUebNFXD8^B5a0h`-i$>nvqABch3YFvuQFMepGKfa4KFdcQxylN^T+V To7;I^`RCHy`Ce!ZFY_iPE zuB%ooM2le>Er=kVDita8Ch_+XdZR+b(*`;dzZ8wgy_A`lOu%8 zdOOqo%&a$(OZP?1J3s?@Z~74{-RpYq%4JHck|2)}(*2v2bpLm4)8N{y$C%(391akF z{b#N9zPx=Ol;@pLHSehUZk$$ly>kRWJSxqb&m}dlnak*2BR8glmeoBsH?DhUa-!C` zrd~aMjGohGg(+U4=5??%$QLxMHKH`l`vB`o_eazHjbK_Aqtcq>X~D6-^zv0aBoNE7 zmh#gp&1R+1Fzq?haSeow1#?j_*GvJoUv1l`^EE?~3gU#SPAIY=tD;nt)d^V?8djs` z5|UqRFPVAEG4rRaTB~K{XRFm}xu{ULn*oH?#Rfrt#t?sgR@=-ohYmfR9iMsr`2MUS zC_*_ai;^rBq(XN5sM*MBHP^=vNag+DW)E`}d;KVhtz}|+ckfDGfL{mk$JpLDUZ+x- zdMZh>pencq`3t9o)a3i|_Y%F4^E=M%?CgqvpGqSC1>6I91E)$Vb1q&E~ z*{;``VbE@Kyxv|~GF#xj)pkt*&g_8W*6OF3fw^d$v};QyP4oXwS#W?i{mzi1Nw)q3 zHv@|j2^sUZ$v%b$+7aFPF%*~4x5Ro1HqhsPwU@va zL&-3Lvd-eeBQhX7f1chX>_*wo$9=QM2dsTALfn3i>EZm(GJ8C?poG_lVKeIdFJngn z5&a86yInAN{`~wcz%Z9^{0l5T=L3aGc-;UrD*i1NpU1)Y_rT!x96tjX#^-s0O64OK zU*G_{@^j z4_Nr@(L`h5r??16IDcG+b4U6zOY|b!#5kicc>etS@i&QzpSc${K)whC3@v?9#EiTV z^2^5d7^SI2rQ2BGk#U zDHNq*VcX9dW7&{M>y-F!B#{XEAv#IhQ>~We5@SX`e6XS$ho`5HRp*Sk%0azqkTu6L zZ1a@mxTbCRpJxLQeAd-hT+^XM$8?SQifzMZg3+{ECc*DE&Wx*&Ys#-3o%0#IoDkVvjm6A~u3uUEHD9YQ|6qOQqEtl1TA{GmBzy_0S*w!j! zebTbwL)5ivEyp-n19iD=yW2RWiIj_CNs$T#SqV7hPZG8-{QL0dU0+yRr9BVt4~{w1Ra;kr&RJY3f+ z0Y3Ir?tgU%em;N)^I>VyPJ}t}e#-kSnjy~nQ;?5cANw#$md-vG`CL?{AQtp9AHsRu FzX3(2M0fxI literal 0 HcmV?d00001 diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.c b/isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.c new file mode 100644 index 0000000..1c67586 --- /dev/null +++ b/isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.c @@ -0,0 +1,427 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/FullAdderTest.vhd"; + + + +static void work_a_2258021406_2372691052_p_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + int64 t8; + +LAB0: t1 = (t0 + 3104U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(54, ng0); + t2 = (t0 + 3736); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(55, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2912); + xsi_process_wait(t2, t8); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(56, ng0); + t2 = (t0 + 3736); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(57, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2912); + xsi_process_wait(t2, t8); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: goto LAB2; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +} + +static void work_a_2258021406_2372691052_p_1(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + +LAB0: t1 = (t0 + 3352U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(63, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(64, ng0); + t2 = (t0 + 3864); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(65, ng0); + t2 = (t0 + 3928); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(66, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3160); + xsi_process_wait(t2, t7); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(67, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(68, ng0); + t2 = (t0 + 3864); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(69, ng0); + t2 = (t0 + 3928); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(70, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3160); + xsi_process_wait(t2, t7); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: xsi_set_current_line(71, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(72, ng0); + t2 = (t0 + 3864); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(73, ng0); + t2 = (t0 + 3928); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(74, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3160); + xsi_process_wait(t2, t7); + +LAB14: *((char **)t1) = &&LAB15; + goto LAB1; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +LAB12: xsi_set_current_line(75, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(76, ng0); + t2 = (t0 + 3864); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(77, ng0); + t2 = (t0 + 3928); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(78, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3160); + xsi_process_wait(t2, t7); + +LAB18: *((char **)t1) = &&LAB19; + goto LAB1; + +LAB13: goto LAB12; + +LAB15: goto LAB13; + +LAB16: xsi_set_current_line(79, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(80, ng0); + t2 = (t0 + 3864); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(81, ng0); + t2 = (t0 + 3928); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(82, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3160); + xsi_process_wait(t2, t7); + +LAB22: *((char **)t1) = &&LAB23; + goto LAB1; + +LAB17: goto LAB16; + +LAB19: goto LAB17; + +LAB20: xsi_set_current_line(83, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(84, ng0); + t2 = (t0 + 3864); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(85, ng0); + t2 = (t0 + 3928); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(86, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3160); + xsi_process_wait(t2, t7); + +LAB26: *((char **)t1) = &&LAB27; + goto LAB1; + +LAB21: goto LAB20; + +LAB23: goto LAB21; + +LAB24: xsi_set_current_line(87, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(88, ng0); + t2 = (t0 + 3864); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(89, ng0); + t2 = (t0 + 3928); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(90, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3160); + xsi_process_wait(t2, t7); + +LAB30: *((char **)t1) = &&LAB31; + goto LAB1; + +LAB25: goto LAB24; + +LAB27: goto LAB25; + +LAB28: xsi_set_current_line(91, ng0); + t2 = (t0 + 3800); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(92, ng0); + t2 = (t0 + 3864); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(93, ng0); + t2 = (t0 + 3928); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(94, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t2 = (t0 + 3160); + xsi_process_wait(t2, t7); + +LAB34: *((char **)t1) = &&LAB35; + goto LAB1; + +LAB29: goto LAB28; + +LAB31: goto LAB29; + +LAB32: goto LAB2; + +LAB33: goto LAB32; + +LAB35: goto LAB33; + +} + + +extern void work_a_2258021406_2372691052_init() +{ + static char *pe[] = {(void *)work_a_2258021406_2372691052_p_0,(void *)work_a_2258021406_2372691052_p_1}; + xsi_register_didat("work_a_2258021406_2372691052", "isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.didat"); + xsi_register_executes(pe); +} diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.didat b/isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.didat new file mode 100644 index 0000000000000000000000000000000000000000..5aaad939cf51470707bceb381653e9155fb2b4dd GIT binary patch literal 4300 zcmeH~%T5zf7{`x@inoNgRTDL+iJD%9wzR}c5sD(-MMbSn#?ELbl$NvwAue>m!liC> z;{&Mi0dU7kS!m)zXyOAHL%gK^|I;~m+Dse9)WqAD{N|kV-Oe}XGKFUw=fAudw5|%s z`!#$D(FtbTgm|p*)$K=C3Xxka#77W^e;V8c`&J3j4&6NZ3*df-5R0I5tq=oX6qsNZ zJO^`t((bm^k@vF;K9M@Vg$*Yh+PS@}W zg{i(5l>qA18r3njcj=v!kY#l%t}vevl?tEOGJ!1+15^4)g|j!h}+@j zX;p)iPVG;zs1fvMxVWxJn;bW5<(_;%hxcYq$-{M4hRxFakv6W=H$2pLV-p;4tV110V$k z!4Ys241r@{7#s&Dz)5floCasWS#S=Ffb-x2;NQ=q;1akDu7EV)KULR2sch<{vXM89 zqA7ye!nhT*OIGlvZRGQIa43~Z^+Y3mrfC&}gOj}Xe_VUOrMdRQ%khZq!2eWf`)xj>=IJl_@3S7!c}weWasrnZ5D)i{B)yb>BD04r|eK%`1s#j0`F57 zQp_3~G@C<%=S7PflsQAd>AWGDlLyX33}@KqH0O6xZRX;si8UN9BlWkfCWg6C5SNa( zlDt6Wi0`?msZ9DRw*n_lWdS&GDo1_JiYh-yhE$IEp1R6lEluErRR)!815TXEpZlB@ zRc;Qe{Dtosud)c7u*#s4UjiqrGN@#`&skCBlP#gO+~IrbDud`dffH64aP9$4SY=Sj zE}yfa%1>yY!h5;f_l#FL4xF&cK*1j1gjEKW-0O2zR5=z_d7tm8s|*xO04J<6P;ftR z;#5B1b5>OOJW*jSAM`zSm4Sl2z=>124>)luAM!bID&PHTX^Bsw2lwUoo;4Ttc3m_V zrn;^a7b;y}()ruzovUg0_=-AL`~3`yr=E#9R|kBKRMDiv@4R{D@)k33-hA_GIv@96 z=O6BEKOj0w`tLzlwLw3Io+ntf%|7Q_<>_DYN;jLgbeYYmuJ&TH($!(kpX)qJfyk;( zb-HKt$kbH6QnBmfw$rc}vJTjjhCOE+Jbi4Yez>P(<#K(4nOwFv({JTA4dnVaXES{{ zqf)Ju8bb6;&P>}qRmbi*R4vtN)t+sILSdk<*9{v^U>u#S2##sR#PpP{@HsXza#y;0 zZ2O*#>0YDP7)a+b*<5B*c2l~0mt9GlrG|&!nH|_jp>C4l5|8c@iMfu%hBd3&pP;S9 z_$_j-o7=6aj&iD9aKBgL+uEozoa#8yl23I$p3JAx^R3BzTdFgk>KG<&nx^;D!?v1Y ziruO7iI&Hc^Q|qP)3j%X^T>3gbU+wRqwckns#mwCN=Cz;YY2nZvLuWHjw6iv3=f!| zH3q}OEDhPmB~Z_pnkkp2bg(|7@bb*`v|Xd{wV8%((3;)pG)m>e(!rjz4%SQ4wiNpP znl!od67UO-HY5j+Y7=xf2-yZ2=@=7EcX+gJxt=`aJZgD6y=if~#OZf3WdG3es!2W9 z9W=o)zpH)%sTni^@MM^DDj#|g*s9BJAdRcR36UHH%t{z z;g1o<`IS!Ux;7{C8w!xES~t}mCtUTB`hxUFjQcwGS&7jNC}b~6|KEntK)-^YrcPA- z8Ckz6iCjPZ5m;9LTEg`2o?X2^m#xW66L-%3xyePg`|wQl@y~%D3PE%&D<5gtj!SgxhE+aNuhY-FHC3(IE?B8okCN}fYMrigje4o( zSO-f^!=0P0&y;P)v5u8UfOm#d_o_ zMDcHxIPbV00?zw^jrsi6Dn9?mV`Kh$dK5m%AQ|H~)1&Ys43g>m6CS*b{|CS^{!4&k z{C@(D{I>w--yWssKN8pL`U3bECndLzUe^Y|k)Hz`b#4J1`S${j{4(Ike*|!>i|@*8 zdR>16KF0Yw;F$Le;K;uKIPyON9QoG(NB(LSNT$CJw*roJ^-El@YXtb1_W{5$?;*gE z|7*aJ-vk`_e*zr&Cjm$PD}ZBN?@OE;++UY~k8#vbrT!jXFMrJS_3Q#1b!Gv__$J`U z-vc=E4+D;M{Z8U~UB3rD#(4p7%=;Hy2SOm-UB|y`3!K(y97A$*UNho zPb2>}z>$9!;K&~X9QpeI$GV=AxL(&^fRAxb1CDuL104BR0LQ$o@@l5%T`O^32aMAV zIOgpG9QhM~V_pjD8XvoyTisXHJyzlB{^zm1|3_SkDS@9(E6vr61kM_msyve*brF3C& zWny9^E|Ely7!wzU1T`#-aibxwT(D5$f@KpoXfQF+i2vW4dpoaV36&U6a^`&f@44rm zd)|BV$=t91II+$@dhPo4>t~EHduJJQ?KWc;+}_|G$uw`9ZOo-P#=LS*gRkCa%!doK zQ1X58Iq{th(3#o_=V37znEIhKrl`Pb`H1TZ40}RpOeEhL`3P}7Fcy~JP*@>EsaT=_&N<)dAbdkkp(eA)O!#G!HO9;87c9LSyG z{bMV(EtG$W7=M?I(=Ui6HdhjNYg^@lpDtclE^xbeqj*cQy74Tb(odKkJK}thlhjC_ z*R}qXm_3#yh^g!FgC!TWF$b+uF0)G;_K17MPg;yS7kG4-erY?`)1?2{++9XIs`YP( zkB=MkxNO#Pe~zdJ-AQ@~rDaC;Ddl+A=6OQ)&GLYO(lR6ata5xRhMpCp-l!hV!RxJ6 z%4NFc?3Sbsz4j7GKe!K@K6x+5G&_aeDhZa^L(=~=miHOdBkVRwF!OMT=uH~Sy99bl z*zJ;F-Q04wX>3L|)Fi;2-6b9D{bC3# zd$>NVm7Wp5C+uUA5@wN=^xk2?eB-q7P(&sex2iY0%p8|DCg5}$Sq<3lT zgzSuXwl?u3!7Q;7TpIhk?2LH6uvtm44~l1rIb#|-N6w6V%Y@BIf?X;G%Nf&HW+o$F zyRe%i!SalP<&0_Ua~7KwcC%t&`5uDhjA?91!INWG&GW+cC?+xaP2!B)G3&^|+=1;E zXpeNT%pP&hI34zgKzkJf>t@f*gUid@k@sbRp0?PPlEmo;dD$Ok?!Xp=-6x&AZDO$W zqZLbRP@rcd!Dhq|H8A)1$ji6P5xs)Q>7FfY=bwpAPR;({_?^!FaQgf2 zdgyAK35I--d(Jtldw0)7r_MEE_F@J)tj=Amw>o{_VD($AnLa`G8=L%><2}JX5OeZh z*_;ESR`NP~#pR0^W@57z+{Dr=$xPm=&3@_g{a>~_Z?)~0Dd%sszx;7(Kb*~Z9l06A zcDlPuW(RUJ=$fGw}_4nrY_9HyS%U)C~dV%lz zUKE$|TzM9>`x6RF4I`5 zfK`!eZ?A(;ib!WHdHhZ=B*v%)gP$}75Va+O|lUgN=t*%DnH@=|^3_^c^dmLI^?FC7 UekJadumAAwgZYCm9BAzJH^@G=ApigX literal 0 HcmV?d00001 diff --git a/isim/SwapTest_isim_beh.exe.sim/SwapTest_isim_beh.exe b/isim/SwapTest_isim_beh.exe.sim/SwapTest_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..aacfd9eeb94323097f26cffe8ecdb30612c7957e GIT binary patch literal 49581 zcmeHw3w%_?_5aNSj2g26QKM4bYEzA_txc`88$pY$531GtzGohryEnUGp&-}~ zSF>m4+%sp+%$zyr%$>R1n@h_t&CbeF zQs2^gQLD#wk;_ri+)!d;@)v>=RXKgem5itCkFm&6-Q(~_V@dayU4GB0uk2Z>{O}g* zv)4Yh^p#6rXgi(morXW+LGr2H)mdY6XHF#C8TdO3e{0^n^Tvn&yyAwbrN7;M$}e8; zyXNM5Zs_~=-0{_``ksC$_HMyjFa50`>)dslK$`aQ;SR}UysiQw6}~YIp1M61{SVUM z*Qdd62i}Z7Js6ZQzaoPSM%|H=X%W3WnayD1HyUcskd@S*uh*S)NNj#moveJn43E=FRk zQlNO+lJQ#wpI(DJ%A|(I=%l$3ScBF{R!50@QrYa%P+&HJNhM@0@K;Y3BQn3HIZ_v{ zUl^$m!(J$%1(EuQ(%KveMHe@QL(So6s5-o$vZXc}T2xuv5@xrWBMa**YeO}a&7tt3 zaD9{^8=D%c!p+U0>nbB0v8blHHdIv;uDUkVR9U|;9BNn)iquz!TN(L6aOTy9>cVvm zO^X?}sii&yd0J{KqmhPsB@|j%*8ohkvMCx0afVt>LPc zXt+6AUE=(0tjS)$~$+REl; zV+D1Q`cPw2xGL0AFPbbm2E_XAN>m?hXlz!{2ZB0*Pm-UyysD+Ci6p@w@g8pqH#Ri! z##A>&7NPkIB2B1-2_0I1`jXq;6mD*)ZJ|C4EoiB)Vx38Yny4~Tzo3B?Nx`la0_972h~sjG}&xWef1O5B(aJz#?dRDHF=y1g-Eb9!wS ztHtH@(%^;MUa!^eaeLerkH?K0HitLlwAkGayWMG1X3q(PX3z1@2>53Oge;J_thBT= zG*ib(nOdV*)kHugh^aHodvI!>c2U-E$3y+X^~?o$k`=|_uW;&xR5U- zA1JSW9X=6);AUKhKU)$5?$P1RI{aQ8{tG&M(TWO|Bi=9-iOa0R>svTMhbJE{FN+Q@ zV-LFL(c$&+nNNq;$0tD@UfM$9GgF60$0e@0I{a}7tfExt@W<=$H9GtWI((xJU!cRc z>hLBVzDF{H8^qo5VSRMXu9sWceevJ-4PKRHs!=I$Xcj@pa>+l!uzg$J)r}Fb6%u8sn$R*%RfzdQmKJ%mfu2oQl)_|mVcP?xs+eS^1q@ysm?$r%m1A6q%s3- zEdL|Qlll%cviv=iC)F9KVEGl4CzTnP$?~^To>XPP$MUyOo>XMO!t&QsKA-YtmT#gw zsmeeh%U?rzQjr0L<>ynLRAXSzr$C(ZP0Eu>4D_@7EXt3ed@sviMtM?!fo_(cN_iUk zfi9N6nDR8_18Z2`MtK_Qflih`pYk-s18v9yvdj8*nfk)Kp!!Cz{mnfy=altySKRDV zf<2oX8R(%nBK~E__g-fwjb%_9_0M**671-XW)JqVq3qOOR|eIcvx%^6N|$O@l$NhN zQiLTAiv1kf;F4X1l-vAqPOyDfR?yqmT)e<^WL)?LcC7OqW(~`gKAHF17TuQZlb%_@VhVFBJRGf{w=C! z!C0VpV=#7SCp0Li2Cojr=1vaA%8Qo;)#IPc%I)#Zp{Wq;_@HGSy69! zY<^y;HojQ9CRfY$t8bT@*6j+YJ51}g`@PTJ_~oFMW7$&!ivRd}++Fh!?3WhJ0#`1Fj ze-qS>_p9B33$l^PExy#W!7(~u+K@FpmfMZL(WPp4x%TT$^xt%F868-b<&B$`E=KnT zvieH1UI?g91tz`_@DALxVW0|FYH{4RtTA`l%uzw@wmeK#(}ov)rVSs&9Qfa+egHO> zt(lPpweK}zZlgrDu|!*DjMI>fz<6(FjMI?m8T*z+i+7_Bs0q{otl_gM6^xBK?p92R z;yqVwWoo(WLs|hTyY{HPyLJnTybeaOk&NTkjbswHN{(?oM?tPGO-o(@dzcVFke{EP zye|d$Z!jAmu3i}b*QKVHsUHNi>+`g!xoV)eS3AvbTGxZr%K>$-Y28*YQa2W|W=eha zhqjig|ANB(wKR6&nSg(L49!#2xSw<^7&|GSRk!7mtBZT1PXw|)4rJ{t&Dt4IIdz!ju_@;R#OMB#S(BgL zY*ylvk$wns3zqZ?jW?NLBVK)+if*DokH4O{E77C{@t$F5;t=l|hK439@&7jrP2Mto z3(!}=Zd_d)5304rd&<@7;=Qo&ML}j!{pvG8^}~4i-oe4iSy3Asy&z0dP^&G@E7z)v z3t@kXQ2vkc?VvGjumoWUuZAI!~K>a3_pg;h3$^RQm#PubJAlSYoA2pJXQcnvm>G2>` zD3ASwVv#cShT^N$KGbzaaC1C2xVb+!IB{Dr7A-af+yAoXawH~~sV-DajN(810NgZI zYZ)e6z>lGv6KsEs8l#vxXhM~%znDyQYO6>NZLGTLUx2~b>T!fsS5t(c<)|Gm6PB~p zPBX3RAS$(^m+rDOCh;XKd((}VYbB_Z#Mg>2_V+`GGIg8!YPtFjdCGE(T~J$10%|9M zkkx?bVl@*W2MOwO^+fe6x$3mMi7%MeZAOHkPRlP>`-8D?-&Ne7`9n`_MQLgZI@SSh zEE?08{HAp;s;lyW3uup#q?g7fUlK5_W9gys+fHTqCEejpiKadZfQ-q>W+Z= zRzUr0{I>TYQXedfU)=^aGaPm z%*4jHiH+^0yKEau{RWKkW*FrdO;wHyYU_z0*#0C;?D{oGs%Hc>F315|TH+UXzsq!& z(n*%K4+vP=N0KZpi9?o_tw>@qZfRq86ZTYf^)rA%OzjMOtet9~>v)bAPE+4a;A^cb_VHZt8EB)w@} zx7v}*?JVV`o#icCWyT$NGP3YNE!NF|N}(ghB#-WC>uG=k+PRqbG_2hCGLN7$zQ^q$ zbq0sMAh{q*G{LlPG;Ad-^u#|Q65&Rfi3y{e4~FZh1|$oX+c4sHd64b&}(qrZW8Kq7T&IXi?lCocx#Ow?ttNl(bfa9w`?&sZr}*e)-xf5URyUY zp+0BY`q5uU)Yk8O`*3UPA&3h5fUCz;VnH# z-M{emf}Py;9}RC0W_bIPKa+kO=J2))LgTxs_wyj}DLls5D5b~=$C z4R81B>FuBUnX(+-@b(=Dq1WK$e3 zekRoCOj}R?!-(4Y=7Phmt^aZ1?c(1j-16ZHZ*PSNdd)reH4^E_dwNU93idC&Eq|4} z{-fdT!3=Mo>?8d+%;D_|5JIoC-`+jP8gnGFr*^WZ_9FN~~uk=HcAJD!xO(P7@?pY}B)$ z=v1&^dv%fp$Fp6wF3@9_?Etseh*7-nw@k4pon)ZJlir`BIcW|uXfYC3J64T}eKq2t zoncz{v>Mw^g0UU7&0;r=KgFk03m>3Hy=s5=cO*fKm8RV^#+7!{sMQ+nrgamy%uX$^ zXZvzDZ5jzA&QM@uBHgJ4-8qFA4OT##$WA9<>w(5pv(Pbx{+A&G=^@EUy9hB7&$KR! zcFJB4O#F*qeNSvgP}do^6ZQg^?%V=(mMPW(z5_2Hd0^WK`%p-xs!&vHfU(`+o@`W? zP+>YqvrmO&#|Ok&9BO+H^#rjXC{ETeh5YwU)=Ynin5I3e@Zbmv$yEn?Xt`aK9PSBijwTNoje)rO2QS(Tec;oE@f1z&D>pI)wIn*a;_MyR_wMjkj z?TC)v5jFS_nA9JmM!nundx0c4(oJgYd4rlAHK`++)B{(@_nsY5ArGlZ&3i6cHP<4q zf68@an$*8PGonfT{nrk+N%im}wA6d>=;V%ZzALb-qX(&BCutARvJM@xm0M(_o+{oL z*^GEMM!vp>&RQVe)yD?pds4*)Yk|k9TW!=ySC~=6Lt!h9P9NC$+b{kmVd2Wu@}VO( z)Dt8R!JsL?POpkXtT>%YCsoSTntaoS)zneT=8gj?$*U98#~Uc*k{#;7ScQ1-|8`4B^Ic%Nos4FwF083hci5;}oPBbHGtd$0o6^PV=U zGBdMuzV?g3*h#)QNvb?PZFC?)rrQVpNi9Hhz}yR+8Dr5#B05m``Pwvhvv#dYSJt#4 z#s(!nd!Qat7{lPtv&Nr2clL~^@ejcKuoLAx9zJq4 z{y*+vaP1SM!t4lQ(z6Cy*?dMvc^5+jz51T|B%4)x$)F!eM|tV3wf%b-{N-)JEK^&K z9_2kqM|mIGLi%x-9|muO5PGGp-kO+4N7Tb$uC)8}F!=V(MpMnsnWsC-dliv?mJfrM zu`v6wUQ8)GRGiBIdoKWUlR~<3wc~CE*n>j^#yCjM!Yl36p;yy3g2MQw#j*qr+C>C- z)1qAaMITaS?N8+UwNkvX$YLlk>?UIV;)P$nc+r`_;YleGsF&uK#~#~*l)vW~3d{X! zD7P#&onD=zFqBJB7W+EA0XLHhAQtB>JCNtc z9R%qwL@1@ub&(Nht`n@C5~lOi}s$1lju>>Z+wk`?WJ! zOdds=#pI^guTWywG3DB0t-$dBo!Z9&bQL1%r_RJ~VsVNVql5Ga$5}Oq%h}kfC#y4Z z)k}xoj6;{EdNYoiiC!&6!v@%SeQg!B4*lvN)EB71iLM>@MMZg--W+{=Dt_WM5NP1* zpwME}G}F4L`15m(wKSHSgEs)_hRT;PG5ID#4OI~1>nydS0=aUPH5mHSOZT)DeEP9y z9ML%;%e9B-O=&c5BXay@5YjyUG6=EMzlrzBW2iRyr4MQ;trX71`{?`)O!)6^#=(q= zJ6Q^6^kXED_U)lF`oAPzn5pY&OfJAfe73IQiv&X*)OGA}c@CRI>Qi_PIp2h${kV~M z%Y??>wDc7G=B#Eq!CsBXV!gzddlxXwB^^vNmhS|mP>F%~C&QN$$upb8$MBITW$JU7 z)zq?bmCx#!pI#4%1EUoUJtyx%z9*X|n)*#lO7>*EErEmhM4-+aKCAija{d;oU@%oO zpuyodF=m+681+3sLj>v&qaj(Fz@d+cKsA=a_ToA{{SR3rH|xMJNPVTPbu&(DzI7pJR@N5Nojase;)|7 z^)x5d^*2xD&KWU1s1Bc7CNsyA7${yd}3rY2pee$EkiLbp+ zlvAF)6UVldXwWlSilg<^Sa<+7FS|r#@I;TvJ6~9@Ug?~AN-qj(MV%7p_YJI{$ zklL7*zJ;lt!_}#S`Z(D@5XRflN|fyJ)xaa^EyEg6VZ=#EU>T@0hkQQCCaptipHHG0 zrz*9deeUzAeaz>tf{1!E;M|z(^I0|I z^D9syQ=d=G#BA65d}^J+=dU5ulAgN~2EY$16^}w7;q$3!%;)zZp|O^713)*7KEIK; zB^dztd}=WChiWR<9_H?y?Y9TCFN#SPVE;@2mDbPoGd7@xq`A)K0DBO~+^XiH2CyZsvt3?*#sAh z2WsG2cir_%a}{VTshYm*M2bWo$neVbFf2|FI-!u7ClfO+JAhJVyV9~dzA z{q8TpIoW+v-=uTjTZlZ#ebb1H1QGSqd`VZb&t}ye z(sjBid4hLCpwDuhPXAOIW<5ST}cj()^zK1JIFI!r{@XI8LrcJ{LJX+X_!WG^iav|L?ZvW7#7MOU8g6W&3B`74#7HI zS!?k5TXC%hczS$OmDa{`P+-n}HZa)<2tP)g01w`tpPU@X`NZq!Iz6$YCZD~NMKb#I&IC@FtRrBZe(`;&eY%*i$v#~~^_f0< zr?}?et<%krR3E^1Gs%rUoz;0r*Xc8or}RI6obc%fZJo~D_x`NYfBYj%!_3#|QIKc2 zPA?mF zgS1YsBwk0?>Hi7q^ab~(_UU3mC;RkH=-GZed#AYI;O(cELsI=@-_0a9`gB$&`Sc1@ zp6Ro9YOLYeJ2lnt?7f#zOM0HhN9c4%XwHEcpUFC%&Gr3Sr$2lTx*%zfZN&X_4dfZF z(<=n$4A<#aB2RMkG)za==~(8ei8FMhgok@SeeT@`pHCk2$XchDLPWh8*onN}=To$# z9ny8WnlJ$DL0vHfI7I7o?%p$6r$6^y<6J-Rb^0yD>*zZDbGuGov62k=@MrHw-a5S% zlIkaO(JGSM=+h~@WzXJgkjT`hQ)3N2ofa?#pUyo0lAd7ll)eID9qj#d?!NbDo&NC( zv^Mi~`ZFNUaGm}`;+*+9y@|+^+&7KLNbdXJ+fNtYA&WUH>AjQKl&1jV7g6(KQ_lT@ zS&1*9G<{=Ee#eD2FU^bKY{2S=mJ2QH!?{p>{Q}OSZm$qN4QV;9u>;iYWlh zsDAPm!hroIh^uhi|1;>=i4}~550dK|CUdDA|yll{L{=z{6*HM^cP~1{w*VjQloye!r z;5dm~YoCdK!ak@$5=UDw3m+|G<>Ns^(eWsnPerFw(U17un`q{MF-=6geO#OPUQ~+j zO_A_s`amA$0{;SGKjya2qzW|zO+(gqx zG($qGPX;%?^W|XHlff50h3FOdrei!7OK$Z7)N_TX$3r|Or>Z9>UMlMG5>3&*>p6$l z<4SbGun%YHKdy-MKj`a+`tKiNX#41sT>Zh=MK>3sCt~Nc5&%A4jx+H1Ue^s6e5e7w z*0|eKK5?gC{RH1(3vT`-r%Zh%e$^dlX~)~q@z&qdcQ|ndryL(?Q~%~49QRtVeJj4v zxU1={p~0aqdKHypm|)(b#S_TJS)gbh`kola*sk~iZDELFkC*sSEITE{sGR@B%;u2J2gZ zMSx9!7Qj`2GXd8D(idH~0k#3Y4tO`14aS+0poxQ{P%a^H!Xkxtj#I_Kg0uwPQaekpa*QhbJ{(CXJbRg48!-k zpFlpqpJLOu4R8()UvvRxKLEaf>$?UA3*pr|aM02Rcrs2eHv;x;gdBhoyj0N(c){bS zAC~e9cs0-hXxfJQ0k`1A-cG<3PoaLm+GkNe;H@v9etdz}_gmBtc<~O@4>;}*s2{N8 zPpBU-{wC_jmucUKqkh0gccXs5-|a#DfSvE5e!x5SqJCJn2LX!!J3mH$18xLt18n>k z=mF`wrrm&d1NH&ZMR5pSS=Y}}vRVtXPR~CkuM_%E4BUA9-Fy4s;3^{U7Zl!_?Jp>5 z&j}Qmv+pP<0>WRA7r-t0%hv`6KP9@U1%=DArxp~&a;6rT@5uEROt^KFzrfNyI#5uP z9XhVS0*t@F3<^+AEy(k8K}e1}@V5ng&LckJBK&>$djWWxL;@22QT**nLH{!FAElt* zP355DM*g{I@7cf``Hu&F3h)%J$cy^K0sO_lpG`<~LwojDkCP1{x#*LwR8IfhZo=0B z|78O|!Y>7Watiu;f&Wqp`Uio(BnAC5z#HWy{%-JxPhWj4atSBL7L+i3{&1uiAWn)73-^Bep(%iog_j6%u`f>jO&~ZJVcEae& z_G9iC9UHYg_s*Q0Sk`fv7EDu#-U0e~uuYlLSA+gF*r@;2^RLnIUy;mzCFn!2Wn^O$ z^62STCev>N{owa9m*+ju-w4~7sr<#cit;fYcxNjA9MIqNgUsb$3i>m!E1ao34}$() z?Cobt|0d{9!?~JF)8z4rg8uvPFJ}^`IYS8~Td}XHnzY_H4!>4B2 z|Jy+Sbob!ktI+Gje94}!n_#ab8bI^+Jh3V{#VauF8_m|zxt)j<$n|Om%fs@{NrF-dtc35{s8Fj zc|CLaqo5DGk-7Z$fxhG~nalq&=r;~zCO`R|T*O*S-yR&CfV2`QD6E2WDMxZV@GF7e zLGxl{{}?D}%gX-oaRnZ@OawT?{Up^>3w~zAZu)x2KP?5`0zCW1@{9uWt=a84*+1hR zj_%)w``dBo-19bEOHbc?SZsCfCm}uk_5)Q#1}2`vE*6-NoDCW!Z|`&^Z9M1FyF^gc!b3+ zPn(ay_dgwMphQKs55Ed6^Vn55+Q%SFIlH>DeGFnb#I6qof3eI_iUeLC%M*%BG`m(* zFd*m91d*WmMHfscyG{`>eyoqBB)(DPF(uj6Dj=pHyJY>J4)XTQ6!hpv);`%knC2{> zC+>^lhaGtEpeNP!B{6O@1e_;ey?{3fxLm;R3;2M5j|=#mfUgVqj)0#ESa5=mRey$n zB?5j)z!?J06R=*un*>}g;P(Yg)s~TP7n*qe=NEE#g@Ek>{#?M<1k4%3>6`-AEaLeG znmAlp&*8(@aM-s6g~NM-9R9VG!^=dy?@#6V z93O{u0`H%~^IaEn_>P;yZ#g-P**JW45{I{mau;62^EaK(;k^R?zV`?21(a%1pfK)JU{+44tENC{V6=(J&wbV1ioD4`%dKeabr3B{{o&R@JgD?CGJaT z5qSwEUP6hNP~y|DS56oFB>Ys!C85MiDDe_Xd^-Ls1wRR|6L|?GUP6hNP~s*3JW;+t zK%40InF98o>SLsz3b;dz!yg44a~8ipNx)5F-2Wip&jnqVfO{_F^aVnHzAyCZQ30bu z4_64-^JPxIQ^5Zce3l5P7_R50a(W3@h&9(=Ikom_FmmiDpNMqr`2tS*$jT-D)>am=&%z z2P>l-f05Na5z%(@;<{+%e86ZEhcz-4sgH)68kLgzhG@9NKegm9Q z0G{|MVHI(v^P5o=`w4a7`Y7wmf9L0P6eiy;;|e9)ha4_}p!i;Y$v8mb&PL)G{K@j; zvwg^6Z6Xk6mK*w^C9VFlBBL)qIoro>PZs5AouI#rdUjVCy7G z$+%3$Km4wu%s}FJ{K@iN**@eHrAr_xL;yx{9JO7RFCrpbU&9}f$nrAoE2ILrWSqxy zLvg0e&qIP@ELmR0Re2&X3kpIhzoe7!THL3YP3C3XM$hf(GP7SrG0HE{%JoQ4pUUzw zPHYtATLq!4-`M`8hVnA*jEnLzPNnks_%oJY4jlEX=&nSZT}Z^ZWIyv9msO?-s`%5F zpOEh(v@$`IZ=;*KOX^Rdz^w$-m+unsSJw%gU|Ex{Fp{#I#NLC1zPwx)c-lBcfg}`A z)-Ta(kf8Fi|ATEl19k zgT${aFX215qc5+lsNl3KIOydd@hi(o_#y7-%lC`&{h~bWU+OQjK7;fp_#$;UMuV+bt3FuLDy37Ksp0mcPh=CtA8B9D}s1o81sUZ;SemmxKau$TB{1 z?&-^KT*GrSg}`SZTaG{3Z_+N2nM+lF-Y>rjvVFsD=D=D=KdZl) z14*R+OvdLXo=+s>N5Rke^eC3jfwoFNroWj3Pc8jZGCog{ekU1!tRnqMGX6M4`iW%x z@d^8%jL(NX_vukAouizfNPDfnnWGda(heu%O|YvzJ&L7sVAZAF)Zffe#wdO5sp!WJ z**RT-9OXns+Akd%qcTpBb}1Quk|OO*GX7*m+L2`ZDT=fY$#`g^ocFpLIm)SuoXi>n&G(w&AVLX-N9sPzTcUp4DX-wL70`If5<*$rRbkh z;Hh4vz=zb(brq6XI{D>%x<=r)i+0I*+6p}JX*BRjC;w8$XRMMZ`~b4-x(mNqqz^G4 zM~L4aF#PcG{U6|&{04p741B77dp!;QZN~ppr9!kTh&){%;4cf~+xFcG$|m9x8W6%; z4EQ{B6yX&EevH8PuBsq52|lL_e4D__de0GfvjIOz;Ja6HK9b%m@XB{Mel7BJO%?cl zL%rn!zsG>TQs4^>^3M}^vjM+Q;C%*sqrlHJ;1>&gg#mwyz&9H3n!v9Sc-h{S0j1JHqF3BUGU$Qdl68V!KDU%-Zq^9AeEur&FQ?(NQ_#!jnv%W<3q6wO{i!}yBin;V>T-dX zCUcNJv`@P#S-)N)2Ih;-n7!ACy-Wqa_r|3}8>-9e*Y+a>7b^JOWI838%TIc}_v zalcK}F`MBJNxw$m<@0Y@?{5WOK3A9XC=Uh!-hJ3L z5qN5^vH$73A;~HC0bYe3(-ls`r&Z9)=jl;SuH2u7{#ijUpYO~5Q4p9@y_cWmV;p3= z>;hjSo;ywu{I6tqk zvfc&2kI6PEGuwQ+S{0>P(98X>+r-_UGJFy#%k-qd?+|?CzM#~%6HFxM@av1flOFCd z*h4#`KS7cEhq6Cw1YYhNN;`iK<1@5=g!^OH&lvsidY?>#|DE73_ftO-_T+d3W;E_{ z-&4jpQv_b_M@swFDDZNhRoZip ze4C@XrLJzVtfzEFfK_wpEdS-DA(^9UWO}F;wjsi5N%@XJsID?nj}|1oFHxn`*IG*4 zPPc<6JYG9Xcr6wWPdGhpmatl^E|*Bz1eMidcXBeT#bL21&EXOxtg?W`YvDY+PN!gI zwep1B&3U0d8^d_qUS7;&bMOQZUUtjv5vANNms3gi!Uh@^F2PG2mJ#2>2sMTv(?Sc_ zq<+srutDobdTRx2totIcU<_$jD^%IkRJk}5uCGo;aMcP;FaPRPe|hMumtH!%bWUiF ze`~^Qc<#4&}UboflwOB(=i{0%2)~3)nrzz;d zi#HyR)rJ2oHmk$wwdu-@^!^HKNgAeBm&5M$db}RH-EDC@y%<}!j;)wO!`|~@EwMYi z5XIy4dfZl*-Hw-n^b&>GPGBfh8<}4fs%mcG`PSx0D7v^Y9BK|nL)GC0l`XZ=(4xxP zmT)=&oi4Y-W4Ae+c8>=shuh-S37A^4p;p;E7Q7;4ae4M#$nHP|E|0_OrqQvYK@MF- zBzSXVVLdDYHcdm}MYQ>tx^|n(>Gfi6cx?`s-33-29iP-iJT5QU6o&)j>UN`uTR#d^ zcVkmSRk*o1bX_I(T2nKzdr;crwW5n`E{ntIa_+NUtIdu*W}DT53G4DYEe=lxh1_1R z)$Vb7+!k~gZBsk+of`_#F0D`;k{qvB)rP8S!d2IXnkwrThC>Ytppn(#)-=tqS)qn@ zC*}lt5O0~;_00%{u=_irR$|v2vjYwBSlm`CM&9buicM|D3(TU+?bK^B zHDV#uHC(?iQXdZ0h3guc7N-+FG4^h+!|kLO(}pHDF=}doV~W(Z)K*4mdtI28A%6vr zky=E%1s(3f>upX4Ucj?quIf0aE@H#5TD=}97bYp%<+kjz2xgAMjgI$Xa$DU_D-Iy& zyMOkaKxpLvzn=x58$@wCHs?wWJtwD~t$?kK0DTZN3Z5=Z3#;8_hp(|) zox?PuNiyfJEvDvUbGy)yHkdBR=ylm(V|7E2BqL)$uTR!CER0lztmwxyJ&Z|ib9vlu zyUXcuKq+i`2a;NjA?0y9Jx-6srPqWJoh3WlA!k4o6Sz47%Qx~QK<_zW8Z3~^1*-zz z;?Pfn)FQ&*dSQLMZdgGlq6UjC$^M2eTNIilx_nw~WpguzFdZXVyM^@017o;v1FRF- z=JwcZUdQm6D+jzTQV(++t_ro#xtG*J3^{A~b(`Jg)pvO6BEp@zV2Z$Wuz@buz7;+(fo(QKr%sE_ZPk18 z)D0Yp0IXIg`pWLrmr3gDgc%vmiS?l9Q5Gk%;N9n&US2kJTF6#nD{(4pG~rUJTAG?@ zI@Q9lq|qd%nJ)Me636Pqd)Ln4QZ+VUr88U0O2v{htO)?bJOVv#g97RL$*aW3#_9wIe`Y%^+ zd?lreI8xW+a@pPRWyIf!#<=x8n7RZ>=5)9$XuR8FcUYjWy4E1bX>LFuSYKV6Zrt2( zeF#A8DCs2^k`z}b=~7d;xuLd&!hz6&mij6d7bFp|X_Z<`o7L*JI~;I-Sg^s#!|Lk9 zM5t2LxHwt$=>7N5OuRXd;0oc9*PBjn;PhM+cU!D9=WQN`ecxsjmd=IdVkPIm>JozK zgb9^>6@gndjAu)8xSCCY6oXqGuEoJYYCce)sNNx`E-~b9Z3xn>2zmEeNg|BG(#2szJnwbuC&T{%Xcz^7 literal 0 HcmV?d00001 diff --git a/isim/SwapTest_isim_beh.exe.sim/isimcrash.log b/isim/SwapTest_isim_beh.exe.sim/isimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/isim/SwapTest_isim_beh.exe.sim/isimkernel.log b/isim/SwapTest_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..67d1e0d --- /dev/null +++ b/isim/SwapTest_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,28 @@ +Command line: + SwapTest_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 45337 + +Tue Aug 27 12:56:25 2019 + + + Elaboration Time: 0.09 sec + + Current Memory Usage: 198.603 Meg + + Total Signals : 11 + Total Nets : 34 + Total Signal Drivers : 3 + Total Blocks : 3 + Total Primitive Blocks : 2 + Total Processes : 3 + Total Traceable Variables : 10 + Total Scalar Nets and Variables : 396 + + Total Simulation Time: 0.11 sec + + Current Memory Usage: 276.201 Meg + +Tue Aug 27 12:56:46 2019 + diff --git a/isim/SwapTest_isim_beh.exe.sim/netId.dat b/isim/SwapTest_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000000000000000000000000000000000000..374c0d8cb94b4c1a276362849dbf4c3fb29b776b GIT binary patch literal 92 zcmXxXF%Ezr5Jb_jT05k}4lV%-oRCxdH<6#b?2bvQ*cngz$H@(NaN&g)k$~Ee{<{{lV{+{|NY%d6!%fiXAPzwN z@##^Xg~SPBfT;4NnXl@?lvzEV%=2q*ZlN3krIiL$pj1d8(P1x&`;tU}Bykkmq#s4x zY5>6{f~}6rneW8Gkm1naBIqKZ0UpK4Zt+jFaVYhM;MthUH=Tw^7_C(u&QVb5b0fX;$x(35!n{-Hu zG{{5b#BV_1SN}nIYB)V|IM~m-oR!nrw!m|J0q2N1b2EL&7I{^e8P^A=xBwJ=1&3>s zqMn*nSvMapj}2P_zAJ)j1UUrTA^w`z_)z+ zMXfInbB@IKoYnQKG$QO;GQOT3cHValE zzql^?`IZyLe95X@zzoUG#*U>vUT3M@xzxGSC$nGEJ$r@t3$pDS_T!_e6vANsN1RFN;X93vFFAv5|;=rCg=2${asM8Ch5EClzR3AL})0N1qRyZ&5DBx2YsejsIp6QY@Eq;A@hZ zn(nL=8XDL0FUfpO#+H>(YtZrgGC!^9T2ffkxVrxeU#CF7r)b+h6)V(p!t+C}%2#}z zn11h(c~_3ViAuus`J=AS9dh%LIO(ozJWW*;eSExT)5hOF8Rz%40kvu@nsSBi1p8w$ zrHRIy-b-fcOfbJ`cNknQQX3@0i!u}fB&Tc%mGtc#^W;fP}DF&!%~!Gn2(qwOG?*+7I`cmvm?C5z$6 zzr!vyn@#>s@=QOH86EjJ<+nxyx5q83Tx6YYgLk_1%Z>VaBVO;0$LVhFY&oGj@}g&h zJp_g?GDqcK`lW$A1xSYd0QT9K#Y>zGg literal 0 HcmV?d00001 diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.c b/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.c new file mode 100644 index 0000000..25f09c8 --- /dev/null +++ b/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.c @@ -0,0 +1,157 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/SwapTest.vhd"; + + + +static void work_a_0464846403_2372691052_p_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + int64 t8; + +LAB0: t1 = (t0 + 3104U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(54, ng0); + t2 = (t0 + 3736); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(55, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2912); + xsi_process_wait(t2, t8); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(56, ng0); + t2 = (t0 + 3736); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(57, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2912); + xsi_process_wait(t2, t8); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: goto LAB2; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +} + +static void work_a_0464846403_2372691052_p_1(char *t0) +{ + char *t1; + char *t2; + int64 t3; + char *t4; + int64 t5; + +LAB0: t1 = (t0 + 3352U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(65, ng0); + t3 = (100 * 1000LL); + t2 = (t0 + 3160); + xsi_process_wait(t2, t3); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(67, ng0); + t2 = (t0 + 2128U); + t4 = *((char **)t2); + t3 = *((int64 *)t4); + t5 = (t3 * 10); + t2 = (t0 + 3160); + xsi_process_wait(t2, t5); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: xsi_set_current_line(71, ng0); + +LAB14: *((char **)t1) = &&LAB15; + goto LAB1; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +LAB12: goto LAB2; + +LAB13: goto LAB12; + +LAB15: goto LAB13; + +} + + +extern void work_a_0464846403_2372691052_init() +{ + static char *pe[] = {(void *)work_a_0464846403_2372691052_p_0,(void *)work_a_0464846403_2372691052_p_1}; + xsi_register_didat("work_a_0464846403_2372691052", "isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.didat"); + xsi_register_executes(pe); +} diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.didat b/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.didat new file mode 100644 index 0000000000000000000000000000000000000000..a0d6c8b8d6cb1531215bb79401f13b0551580b91 GIT binary patch literal 4132 zcmeHJO=}ZD7#`dDS&Ow$sQ5vZq6H<{d?y~HMe4^*v`1xGvx`}pCNY~f?Lq88@Y174 z4B&p4#gj+DKTt&R5A>!GpJ#U_9har;LIuS><=J=U{hD{)nN9cIz{ZdExbsK= z-;VMrgaN+j5#qJRpK_e-6{31Xh%K-P|A*ibc}hUe6)btw<|5!(;WNh~3-oIh4NO0=)5qdm$;_ve^UGMGg_Ra}&nHr2up zSQN+A&Dqof=%?36zdq@Ud>2|8?-3)bi|ypp^aT)2ADDbZ?W+yrC28L;!S%>zC@2egUlzvv%Es|-U>N<^F}MM_tmWA)_FuPi-=Fc| zol+Rbz`qmn#_?xI*;e6uSxx>^$@hR@@5l*Bh&@5YQL4Mg{&UWEQU~o}(oSDuC=dtF z9Xg{U6H__ay%J_%m!TEJM{LUlzO`Hb{AiwcS;3RkxybXFS+w@vi&9<7h zNLK2zPSW+9{P6Ix84-4 z<2Yu+Oy^2zBW2`Er8p}um~JhO|6)vkuN^1*f?1zy#R*u98&Iy+%QJCS@B_?-({$^x z&dG1A-z~Ht*jG@jg_A0j(uH&`l^1i^ZoA%`F?Fq(GK_30Rm^2FaVotoOKsN6j_1XS z^jhw$*+3xfa1?m&3I68r+a&JX55}XXH~5pHC;XeO{06TCBiD0hyKjognTczka2A{d gE$m#OJ7vX(Nm;e9( literal 0 HcmV?d00001 diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.lin64.o b/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..2dc3b589ce8f926b01099d6ba33880ad1bd56e61 GIT binary patch literal 3784 zcmbuBU1%It6oBvSChgYNZH%=B8*#uWDYUac*(6)>CuzFP2uo6$hCM6 zX%oetz|zRFF8CsfPX+TLKKNQFv{g%dRq(;bJV}cp^+72r#&ho6vwJg{t)d6+-h01$ z{_dH%cjuj4{%k~17>UAOWStpN#?+q9c!CcnSU)?=^!iURy)}wNZ@qxsojv3F?bug( zJ3Bk*SZ`h!is{YrP@i7E zJ;3w6gL&V}H;QudDr6=lpKm?`&RD+j!_Bdd*Xtj}QENJ@Hz%@NEW%Sko$9HP>B^S=?O%}kYX{r4?4-UV#mTmPv;uLW?Kr2}I{zxpSi1;=Ls)}YzF8E707odc zYgZC;tLDnQ<$4Crj76)YS!Za%Old19JV17inP~JGtl(jzP*rENg_u(KQ9~5iD*q@2_ z72+{A0K<&n*RY?7s~eGeH1cUYro!|bGLQp!nC9Z@dy$Q3y(jW3nEIl*uU9;Ck!h~y z_Jh!q;h{;`Cltj8_I6VfxL52%`aQsk) zBYxip9lE0O;`2dy78>#>P9!-Y>?Fj8!B{7NqR;EN$|75_P?5Lj?_QCvbNp+K5U>#+dg-Ng`xSoZJ4JGM!4DI$qqx`AVHOkiD{bNrD1X8qz#we@>tg@9P{jSi-|3$HKLRW))inV(g*|n_z2ZEWJL+B) zi7tpmjMEQ|@~8UaGYN}-{~=faIT{EUnEFZ~zo^&4e%T3Gf_ULxqM?~!eqj|x-W%o~ zcU6a5+J75>Khfa<2h6!o`#?Eim=aa8gz5bvUr zpWR$sk}`yGl)ovvA)ZqfvfnD_d-sbt%HR_vAb6kkL;fVRZ+wrbCbWkAXI=P>F8sSL z{B9Tidl$~Sd{Afwwqj|csbuzn-yOz^kz&>5o_**bW3Ho{0 z$9w#2N?i6s=QQZ&OG#hO=b(7ygZcx42lG!z9Pdin*YgsW{TmXO^K=Cd#$A{6Wj`NE zT#mabaasRsiOX?C8Td)Z*xLfoJ%(n;>HLdju8+4W-g77_|MhiIpCl^=$YekGJ%d7g Io6i{g8&JeW@&Et; literal 0 HcmV?d00001 diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.c b/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.c new file mode 100644 index 0000000..056f514 --- /dev/null +++ b/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.c @@ -0,0 +1,207 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/Swap.vhd"; +extern char *IEEE_P_2592010699; + +unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); + + +static void work_a_2579272516_1004118533_p_0(char *t0) +{ + int t1; + char *t2; + char *t3; + int t4; + int t5; + char *t6; + char *t7; + unsigned char t8; + unsigned char t9; + char *t10; + int t11; + int t12; + unsigned int t13; + unsigned int t14; + unsigned int t15; + char *t16; + unsigned char t17; + unsigned char t18; + char *t19; + char *t20; + unsigned char t21; + char *t22; + int t23; + int t24; + unsigned int t25; + unsigned int t26; + unsigned int t27; + char *t28; + unsigned char t29; + unsigned char t30; + unsigned char t31; + char *t32; + int t33; + int t34; + unsigned int t35; + unsigned int t36; + unsigned int t37; + char *t38; + char *t39; + char *t40; + char *t41; + char *t42; + +LAB0: xsi_set_current_line(18, ng0); + t1 = (8 - 1); + t2 = (t0 + 5109); + *((int *)t2) = t1; + t3 = (t0 + 5113); + *((int *)t3) = 0; + t4 = t1; + t5 = 0; + +LAB2: if (t4 >= t5) + goto LAB3; + +LAB5: t2 = (t0 + 3264); + *((int *)t2) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(20, ng0); + t6 = (t0 + 1352U); + t7 = *((char **)t6); + t8 = *((unsigned char *)t7); + t9 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t8); + t6 = (t0 + 1032U); + t10 = *((char **)t6); + t6 = (t0 + 5109); + t11 = *((int *)t6); + t12 = (t11 - 7); + t13 = (t12 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t6)); + t14 = (1U * t13); + t15 = (0 + t14); + t16 = (t10 + t15); + t17 = *((unsigned char *)t16); + t18 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t9, t17); + t19 = (t0 + 1352U); + t20 = *((char **)t19); + t21 = *((unsigned char *)t20); + t19 = (t0 + 1192U); + t22 = *((char **)t19); + t19 = (t0 + 5109); + t23 = *((int *)t19); + t24 = (t23 - 7); + t25 = (t24 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t19)); + t26 = (1U * t25); + t27 = (0 + t26); + t28 = (t22 + t27); + t29 = *((unsigned char *)t28); + t30 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t21, t29); + t31 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t18, t30); + t32 = (t0 + 5109); + t33 = *((int *)t32); + t34 = (t33 - 7); + t35 = (t34 * -1); + t36 = (1 * t35); + t37 = (0U + t36); + t38 = (t0 + 3344); + t39 = (t38 + 56U); + t40 = *((char **)t39); + t41 = (t40 + 56U); + t42 = *((char **)t41); + *((unsigned char *)t42) = t31; + xsi_driver_first_trans_delta(t38, t37, 1, 0LL); + xsi_set_current_line(21, ng0); + t2 = (t0 + 1352U); + t3 = *((char **)t2); + t8 = *((unsigned char *)t3); + t9 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t8); + t2 = (t0 + 1192U); + t6 = *((char **)t2); + t2 = (t0 + 5109); + t1 = *((int *)t2); + t11 = (t1 - 7); + t13 = (t11 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t2)); + t14 = (1U * t13); + t15 = (0 + t14); + t7 = (t6 + t15); + t17 = *((unsigned char *)t7); + t18 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t9, t17); + t10 = (t0 + 1352U); + t16 = *((char **)t10); + t21 = *((unsigned char *)t16); + t10 = (t0 + 1032U); + t19 = *((char **)t10); + t10 = (t0 + 5109); + t12 = *((int *)t10); + t23 = (t12 - 7); + t25 = (t23 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t10)); + t26 = (1U * t25); + t27 = (0 + t26); + t20 = (t19 + t27); + t29 = *((unsigned char *)t20); + t30 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t21, t29); + t31 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t18, t30); + t22 = (t0 + 5109); + t24 = *((int *)t22); + t33 = (t24 - 7); + t35 = (t33 * -1); + t36 = (1 * t35); + t37 = (0U + t36); + t28 = (t0 + 3408); + t32 = (t28 + 56U); + t38 = *((char **)t32); + t39 = (t38 + 56U); + t40 = *((char **)t39); + *((unsigned char *)t40) = t31; + xsi_driver_first_trans_delta(t28, t37, 1, 0LL); + +LAB4: t2 = (t0 + 5109); + t4 = *((int *)t2); + t3 = (t0 + 5113); + t5 = *((int *)t3); + if (t4 == t5) + goto LAB5; + +LAB6: t1 = (t4 + -1); + t4 = t1; + t6 = (t0 + 5109); + *((int *)t6) = t4; + goto LAB2; + +} + + +extern void work_a_2579272516_1004118533_init() +{ + static char *pe[] = {(void *)work_a_2579272516_1004118533_p_0}; + xsi_register_didat("work_a_2579272516_1004118533", "isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.didat"); + xsi_register_executes(pe); +} diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.didat b/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.didat new file mode 100644 index 0000000000000000000000000000000000000000..841edee6bfec4661ca85f67edaa7bedf3559556a GIT binary patch literal 3236 zcmeHIziSg=7=E?>)&jVjj$5&tj z=tea$reJMqtJZO;_a%y_Phd#|!v(g+s5M57r3?`7-_1taj&T@Eihi4n(`O&HD3Et> zuvG(2Gm29&k9BE2^Y|V8IN**Y{Yc0m((kjK1jv0GxC^KhV6g8`2*-B;uK(X4tY-@d z>zNvq#5mU`aqNfsU&D*8Z1DY0_(>l6IQ}DfKE-&r_ZKlZHEwIrYI6z(>!S1@g<4z2 zC(vlUtbGZ7SkF04i+Bg_4ud?G&_q`;IH!+y;UWJhA--wYr}+zhk=fw7KhJaagr}D z-mEN`3pcJ~=Y~b^IbSS3-{bnqrvH5PhqUXttHCi?ay;LpXD&OnjMH&4>}fYz_YDhM cTq@^F`C@L?%w@BMTyCy7Gn27h+ww(z0ppbvS^xk5 literal 0 HcmV?d00001 diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.lin64.o b/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..c2ec3f3004e09af3fc484c525a9a334112f5c1fd GIT binary patch literal 4320 zcmb_fU2GIp6u#R9yCCdVz*5DZO-g0+3LrCBxv-h5F zzVkbG?%aK;Qr*@S3K1qDvV~mJ7)r>%a&GQS2gn){Bg*XW5#?AG5#`w9I9ysXpFI~j zEAN({mUqb`yN1C+QQC(S1;uvEPOn)sviAUb*AJX3r_l?3MS(d*x?k^(;$t9mIC4vnwPvCsyqTF`YsCv4jI3Ehl<0pFYK2fsP|( zJ6&#HEw?Y9Lf&bt$RWzJSGwiDeyp_r#K4hKY;K(xo3j$VysPz!6NNCZ@tgM=o4bHv z)-Q%Rg(+=H58AuoX$%#Pc72YCet`?1+Fqcwx=-m&!1&@9ecZzQz?HY^ThPm(s_{`J z75k|rr7N&ll1{DmS|o{fsQ7j4WoBCw`@S)n& zh-O+UYU-#qE@;!5fOihHjQ#yJHI>a5Q~6X@%BhklW+bVQO{ay1-l$ow8`3}Cn$-Gr zQ|lkoYt5$KKUk?$^4W~sXlRBLKsY$wAm~pG@JBs)hV5IoJ{nJMe{$Exct*$w`FKi{ zQes+4$CE=^Bd*je7vC)9H-agyb(GKxLnJia6WXw{;J(?iGy!_H*|ci){nS7kSN9pUNsU%}b3+`=@pZe+<7R;B zp>XF7*w8v;1880h2|ZDlHigduqz5jH6F~)}&mG7^Fe2^=L&{!&^1ZPh0UUjL={IUG zfi1>Q!NrS09kzH7<}81-K^cI{&;B!Ne=m|aVRmdBfqOXj=Kmc9SUl#!tM7mt-ku9t)JC}Dtzyk^#2aPyw4sWa)LVj2o+9P z-3&tAn>*e+gUtr{fZoJ7c(MH1^GBLr{O8~(f^yOm(4)E}pI_uHBUjnyse=(-e2N_~ zP*5MpJ6zL32i11IykO~PEj0nXh%)v!?f^4S!Cs{#1i0XPXbqzH8~r3r8l60dufQKwXqG{;0DRJS@m z()H3l{Ki|JHKN{jhiF`rAR zSrNc=I-7Dl!|828t&eN<{i;!Gj%jLZpQ<+-+H{b^Orem^6*9$KPR!&aF_p=29s`m9 zn`|ZrY3B2VbVkgky;(F2{UEeqpKicu)q+G!wV_SGSqi^VD7bCm5#k^GHw8kE6Am8Z zaQJ8D2<{L#XZ*We*x|3_@cSu_d^}4s{uYX3Tzs1t?!J%i9*=)P82(ED|0>1NKhI|y zA?_%>a4|m-Shpz1Uk?|i8=kt7#!+HMu9M1DkayVbtMGoidf;1e#bdkdL aNf&j`8@L;@br7dFkV{=UNWp_MKmP+~T-hN2 literal 0 HcmV?d00001 diff --git a/isim/TypeCheck_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/TypeCheck_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg new file mode 100644 index 0000000000000000000000000000000000000000..66a8487dddbdebe95826dc10f4b9bd3e831e7e72 GIT binary patch literal 3850 zcmcha&u>&!6vr>tA1w<0D2i1pV8s!!ee-Y2K-p=iFDwr5ga^{?S&i8)r zx%Zy;UVCxdXMcQ~iC(?Fwzl@3F=ld$G1qU|T;I6OnE6g)KJRMkCwCgN^=@N!$bKYc ze7kt=l5QrNYVMWxTEP9XGab#reKOWHCHW6Y!N*dSn220+QTm9K+$E`>`$6MJrSSZm z%KfJCF6ph()l_b~_P*QZy5;+veH!mkPTM#8Qn_~(dswP)BJT`zB(6XIiZbk%1WNsg zk2$QwV~Ua26&Th;e9Q^O`V=F@{=isRf>X%6qQK*_aInvIJY`|_S`2#v10F8clQFMr z@Pv(Vr|o#&7A9jccUTM@)aH2JSKvvDQR}qjxg^X!i{Vb)zNp{vd?CzJ7PHl2WRkqY zd?U<$i%~1C^RP#UnVy;W^GD&XNr?^G9D79EIpC8=obTJS=FOg8;E;A{(jk%($Aje@ zI2R|>ggDFo;0ZNnPp1x`TS`5X%D3YordLQJJK9)y`ou9{d8UNfjOQLZ zpXVR(Ej&lWF>ZODSH2w&^W6E+w}@}ynHI-M%X3Wm8}ZQFNZRhy84oGWeBPN@`iB0% zM_fPk2s@%N@ogj|)CpFpL|m`+Dln=D*zL{Krr15gK5Mbu19RYkS6fWPz0Whk9##Yl zXN{#VeXOgkU)UoSv&ylfw0e6tm~mPmfCn$UKI9e`7);4THYDd121vD31C0e zpx?&mEppWAV|h1&{aDEXi{YCDmc97c?==Q{S;@d+9C*5i`gm5nQ_Nwebi{+aNmh$V^2^!?>pMJS7rz-_Wv)NhzE5p# zE#K!IyIYDlpY>7qH;7-4^L>o(!{ac%PmD9$Yx6hbQ)i#W^UP(ePe1dnfRldRFGbp| zOPptro?ssGUyj|Gvi5GNd&iVl^?uiw{zn+o-w5#!zPp<7>q(Et!qw#OH-}G;;q&MJ zpJQr5*fWYapPoawJA|G>xNn3!Sn^o%Sn^n&6)fk7<*cym70Y)P%e`X}-gjq39L!f2 zqM%fdg1J(@QYi(;r>3Tc4-FnI7Nc5l`ut)vF&`CP8(5kznxMKE2WLv(X&$S?_SPQe#4rGHCMV)^`wSp!WR~MTjTM&URdZZm%UyAPaVU{uToL`9_<+gL-bVc(Ccbr&|W(TE7BRIN85S(3GRnQSarQ8dJI zy(~s5iv7gW*0!{@hQA8M2O5L|T5F@yirQLgMK@wCwp973n(ue!vAKJ*n=A-|{c|BX zGiT1soS8Xu&Y8!}y{W9?d`nJ_A|H$Lb%kP;#{?LP|5lXzdstN9d`i9&P)=3GD#L*p zhMxdSA;?1#c8j7V%olXIfO!k0Adsm5Kuzw zcRyr(0o;`Bu^I|5y@?Yny(z!~5{?k%NhtGOf_$ufJll}JC7;eJdRt%KrQCn- zZMTl^nRC&Rly)M1WdCd3H94d5ri>%p7w~f$ewM#^``pL=w)ln#Wq)}0gkQbB^_rW$ zf5X;mXN;{`vh~?Vcy9*$EewB*(o+y%UHXaW*tx(LD)0B@FRc_94z3aSp1V`=&jCMD zISCV(4+KYP60Rq7pIKCMg*O(QVAl(YhV8c8!mXV$ew8zPOh(Z&e$ zf}+lfHb#}%tx+{Lr#YgwMq+ABWL8yMeN4Tss=h74l3Js+jaBt(T~(_Zxh~QeW6103 zYUI$|)kX(bia`MQv@H+1%1p+fvn_MrKE<+hUQ{RDzmlO%>;)Mdpb{B4|Ra zMy+qEjaI96yT_GeCI%*3qhMb0qh4^GI#uMHSYOrJYObIm+Nd_SM5@)c#wf2y^aF^E zy_2jy*3`^-tZ9i}hbGL5wt$dTtj?l5%}p&a1)U^{OJ+=IAPqrvTT2VEib3Ne&=O&J zX`C_?p4vGrk=CaAHtJ+`R$F5=>ut)MtTNg-tBGYHZZPE3GzHR8O~%5bV9ctU=U{*c zB?hRWDvBYCpx3LAFtfFlh=5WPM_E3wfTHrBsg4t z)opWnT~4Rlp-h_|Qm0K1P7Vd9h6FEQs=TbMOr2sVNtskpK4GHjD0P&&-_}zD2vNL_a`tZP!*lvk6ekht?O|~X#YuE}yIFis2jV0$ zy(?M#pA;ui>0Qp^?@*jXq_>mB-=H{&eD6FKf0g1#P`sJNU!*vRNN**JKTB~Ejov9N z{xro&BzglZ{usrNrnrs8AEG#kMsE>||AOKq61{~i{v(Q$DD)~U{(Xv*2=wm!1c=iY zQ=EprcN>e}M)8pp?_u#f0q32$20&A=w|2ND;9pIx1uGz63dd)h6OLCDF9>T#Z_LTt6qrup9`5*{ z?cA_7z4-q2>qq3o{1x$;`DOapV*Q#t-4fLPUS{pu5z=0eBMu%2sOO>@e3 zqiH*?$DVQMDmKyx2|>#4_Q~|Op51q<7ar8)?K0hx)Xgi z38f4VEy(dFtn=rfOG7zZ%W_@_Y0rely%6&E-nhEA8dz#^BCw!2Z^4vdVg0-Ln2y%f zF9xiuKZv{V-=TegVr=WPBMa+yH)H-HhsB&@UUmg%ARDCM-PskKfy`KNU_q?-UGxDp zfjWRSd>Vzq@nPpfxAhh8ymCDg#T_56Awk%&Q|sCBuAm5YFp4##0N1Y}wYOfDm@t+o z$bW-5ivrV;S3>6{84%>NGLvsjLw>|S^5ErC(#y3ELi+Xj`h+|!RNSMV6ts42LTF1! z+imSy??-5EA#0|T*Pyz-O#27|_jXzQtEU3~V=bDeXo(=nSU7%M7?Z27`02B+D(;E> zCY1A^P|o(Uob4ek5gNCRmA7TbgS^KcIFM{q-I6sMqFaA|Gam(N&U(h-`f1^~Td8N7 z=8sS~iJJdqx@7xJMM@%!@S~Vp(4Jq|aAOg4#H&wI)~9ID6MK@W5=~N&_}c(9m5_LD z02-34BvuVTlSNG22lSQD8&?%4!diXt&I+xjcsI2Am@w0#LG8J)_Fx7Z3{yUUIjIHPOkON?j0|M^@$~A+M5%gPl_lh zNJ*C1^E)0Hs?J>>4qazuf1QbssNl49{*IDzsZO#TQc$LaZ+^D~vn{NxBC{ISmXP%= z*M6seV?;RKL8d&cb&!SL#Qa%pMjlL8K5$!;xUjy22(&9G2N`>$l8ra#NEmYvLAd?t z5vXwuWj-l<^ClnMfr|J~$loZ}ZYaJ=+lsnQ39n1!h1YG%3y<3nj>n3v;r6$7UWC9o z<(daoQ$dNfAD}dy)mo0p77Ai0r-$3uQezZr2TiC7?N{eeo%#~uLm#Cr`3Nu^UpAVs z+A{JY^jxiD3t@S&`bpNV4x-XJdMK5JF^SJ+(HrMpq?e*nVqYJFv0now%C!yJs}hZ=GekG+*e;Rx6w^3<3$p!IRdbz=Tm+A7e~?&ZKqwKL?f88*ez+(i&#EbuCSFsMr+@ETP$F zR6&F)ei@T`aMfM zyNViu9&>@$UI%{Z9mKu0t6S^HLlku%J=x4B+jBOXXc0|Si6QpS3*ON`zt&Vu9O*@P|71v;3}#C!GZ-N zEN8P`wa$MAb>uE1?x2_%-=vr+z&q6%pN??vk3e8num?b7S8%jLFQ&8tb}n zd=(ejRZBst57(HCilUfvKpo5lR*DIn1Cn3i9I%O}p8}!%A24AQ+6(_dOtA**h5J=# zZ5UX|P4+^|-9LRb7g{D#V2=xJ5t__}_A9_Kp>6K>7^?n`K6o`W?v0?f#UPwmJQsgC zr2X|V8tx})W9cdUC@YZA0u}I}C@6wCB?(Q~dJh3< zJrhhArS&z&)aOiEhbIP=*56-yu%-0?_zDNty^Xzb`vjOU3T^$H$$4~0xwl+s_r|@w*J2iLvb115|T{ENR5Q=c=3;SYZq)M0osdaxaa{{r{+lGhIbX{`Vg zMrr+sG4(l<)-(P*sI(T<9&Bm-uXAsgyp}Y}2g|)(3>J)%JK;~n(xKsc+nnHXayYU{*l8sEjITML#yri%7Mh@;0?ZaPh4rP65{wOXgsG&qX!yO-A30G-rWKoNDhflIz@;R zd)BTTIw^ZSH14gSwo4pF5DVtxgx$bpy0<``WsSF?+};-u+_&R|Aq0|%Dg+e=VC*z_ zlLgf!MVKzq3@MQ8`hd8LLv7zgJwZhf6gO*_Kz_QLHPtUsp&9QgJTizta?!zA8W%`b zhCaq{&`Ukd#PaM83W_r`c8baldTUX)QR|+7K{qbRm}u^o3pI3jl*NS_o!x{A>2x5* zMe{2IMe~vu(W(@UnsS5YimQZI%g(#!ABma=lf=2V^}a>jWR&&K?*du){GbAPKvn8!)NK^a4UCum zeSX?Z0FzkH|YXN`P=o^sxlg>9-0X%Nq>cgU!6d{X`+*Vwj-naX==l(XS;mWm&{wp@r z6T}a}urE2$$)$-v6Z*z&l{(g$~u2w~@SGtML1^8B%k0EXkDDx;oAV zWrU@-5nPzv;Lo$fe|z$$m-q`e9}*HD0vkq&-^JKEq$EDLX;6uO2kM92DChq0p)2wK zb(_HpenTS6t{|rDHPFiDGrG##0Tztn>)yy_)oxPghtgGEdTVX(HiIwRAk;Fo$rn~FF=kF)nEbny}%x^M<^Xvb@l^R)B( z-;6_-rh7Avnu%U5M#Fm9eSLiiwGRF2BGebC!O5;2eVU>?MsJQjIRU>C^TAN><)F~x z)HG|?GkpJCXDyBA<>C!MO3?TcCNAG(sG|zve4V9rR3cWPu?9nadMHg_%%>mo#^Ie4 zvO<59-jqi3)*!}T1|iAgFN08##y9bfd=k~BzVtyYrIo^$@jg0#0~7YUo0U+6iaS{d zcl6`LkbZ6d9sRXyQ5I(EDjJhBv5C*tReX_Ps)M?YZI|b=Nu)i4*N{h8k#!pql5d&N z*jwkHfZw^xm`t!&BXU?T@#Wr`40Cn|lZ-{%K`BI{H-Wcb&C7|@nN93t_=uHq?Rm^< zYFUNGXLUSCuZJXn(Z}@fldnX4lZ7Ulb_FIS+gYEN#DRSx(5@ahtNDI8e+yLN|l33)CTIMY1A^Lmv}?*omKkpmB!p&F;|+^s1{@l$Ut%agr`J8s+#y z2g%7!orU@O7xX}dwo1%$>Ng<&3?w9FEAgXs@LA4wDexB+*ew4&BVg}I>DaKpAB6fU z_HxUr8z_@lmXEP^t)euI$&ubcVVd{y8gJ*%ZST2GCI1z=OYfX#0vB4`|&^6W4#Iptq0N}2L^fUVw3Xv#0){NoMU z4a75R4xjR@k)|o%Om!xwJk3@#wub>Z*Eh4+Dvn&u=DNl#C^~Q@()h^%iDgKqS81q7 z-S8^S@sFVkQjQK-OEcNuw;v@Q*bB&9g^;|4bO&(6o+$aBA-r+^1W2jmcY@jgtLpzCJFq_{)#3q|hLYREnk_ZN~`Q1d!UZEE@e+FeBo6lOduQq?fuT3_edImE- zt<9%?LRz*qpI9cFPw%ryo4*iYY4h0-(>|QR=FdYqxB1jQX7hgt7L97)k~OI|pH+js zI5xJ~+I(szX1memQ|nANUv%vqNd*vumP&MjAz|~WY0TztC2m0O1_SD`H010-(PVzV28wC{~lFA4Kb6$tar-n65!wa)kAckVJa=FDKEPFd=wvpd+x zFB%3N3Y$)K_U7Z4*{08iH4bac)5OfJ0|kbM>gP6nIYM&Qrnl+T2(wLJki?;PiC{3B zzBx&(C2e|V5+_vFkT!h{j56L<4O)b=ClzPW`qQ zHl4U9oBjwvVbkX$3?s3PP-N37O3uD; z&F%r!;dOe-AFQEudf`fw&8DHuWV1=$_rhkc1o?hgr~kbxb(${&%b)E!-I_YV{{)6U z%XK>asWi-bnl|QHPdd#w>#H8bWZO^c^y8?k!|U|HY&s3e=V6`xl?O<>547oGLLWlw zbOoFmZTd>ax!IvZO(_x>_z)9**!>@Qu>igCZM)7f0#t95$gFSt22 z{K*R%)=ca4^FW^EI(@V#Im>nW_Me*#Jq^=fh8`lhnMmZHi(#((;dOd)Z@wFya{$)q zV^^4LJ{jsvHlN0DFKj-sydT!-|NFC4HSlw=Y_$2TnghB{UzAh;0a~Xgz|a9&r*re3 z)jIu_pPJ|TzOU0gRMz2jdh$d~?!A*nGTZddBu=QTLtveL+5PElx|p!3HeGo2+3vlQ zU$g(#>0bq>Mw|X8#<|(1vpNsxI(>5Lls*n@?C*6tH{W}+PVf2=reXH$^m!o9a-DuD zm7M)L{mVptc%4o&-L$vPW>1P}2jV<^L~??&^K=&&_$=4y^e5HPJW^yst-1OeGSBsWU#BmkvJS7)Key}j2k%U8(+|CM`h0L|oXoBz z>1;Z=w`}jd4uNcKIyKg0(`f;d{yaULI;9)H*8X0nbMw77>+~bOht_7lPT#ti`i@=Y z8tOd#S0LSsb^47&o?^afL`bdp_*4z_{uzZ@UB)$oa zXI^lKOS9#Tdp5G zMW5hHtORL!{NCc7_#@-^9N0!Bmy*U%(h`>RK=DgoP?Sw&3fiq)6n~)jWkiFB)3f6| zqCOd+L@lF!qPUr{G6}5?;OAKD>QM^{6W1UyxM_ZIvt&trZj7?iCnggmpjbmyoLQ!n z>vt9>FnHG0qw&W{@QE_?_Au-5)Z4zqcE~l#U0~5KRp`|M+@PEPZCs{mevqfW*hDP4Hb`#klQ3?PGj1EWGaH+;Z*Z z#BbwhaL3x&EN>r-PY5GtzB zW5p#E`VGZ4`WPNQ1IQk_lgB;>2=QA!5@XT6yDxU)|W<`5Z2r+o{?eUWdcw#g}6Teo9uMPx|5` z!HqRlEj6r=C_W-Qp1#f7)X*HQkJOan3%aF*qtDQeH-3h;lz%2zRL$~D$A^w5vhNmC zRGk^AOJ*m&#%JKmSkm~qriRG)XlrDAZM3SfF*=?;TI+SYf;Bafmhs67O7V?kR&&nD zxo6Wi-UEm|u&A%E1YsY#X9}PPlVB;}Hvv}y-VV4Ka5dmIzy#oKKr8e^AznQR0+s+? z1{eUG16T?8J;2$3D*!tIp9Wk3_%dKO;QN4E0f$4U?gT6Xq>1q}z$t*Gm}5%;!+@Px|_7fREuE zt`hJzoK1HE`hEp|0N?6Dxq$aP)Yn%8y%)tT)G2^_aKCmQ;1iF555SAoq8|XQ-KZZr zItTB|1pxnrmp_{Uf4Uj<173%>^?LxP{2ui~v0d{L>IW=-8TA96g1;Qt3Ap=p)DJic ze{*;z;B#-Getem9<2$GykiPdZ5Ad;nqJF^G2dE#gc@OG88lM6OECD>@KM-%gn*r%d zrm>IE-+;Ba(Ut&w7BC;*svHAbUjkSH7yzUPiikZq*H2Y)W*6q1JmQG_PTY4b29~}D ze8WQ8-^CLwD7@7YEEva z0SW&Eex6E0zXkZ`)6l;Qd?F2f9@_h18v3!okHj2-?~r_`Ph7wk0RJVzq8r*R7at`X zLVVHZKPex+)}DL_Ul07Trt%0sANayF^!EZkDh>T3z+2PMKL@;-Un>7i;E7)&KQv|^ z0Z;XQ7hxK=+iBbu(YVF)CKQw`q;b1-c(B0Ne#A9=;E-e*I5z&!2^W9La){4`C})w0 zPwMacf$s$VUgDGWx8?frf*w=T5alDN3^V8Jvih;gm zWnbUZndn!h(%%pIyoa+de+%d%uwmJjp9kCbA#B}0Gx76WDnBmJKMI?eEqx8>zXRKu zZU5g1`cIz9zW+CX{=TRC`d)=xC+Dwal3{|qoNNHi-(8>&Z-hRCTqNm@^U-QaneWB0 z`HpA%`q=BX%nm|73{w7d&_D6JzP>$1eg-LjKIm_KA$$HG0ln=H+4KJ<=udhjd;Uj5 zx8DD!?D-FYzHwXj{Kr5)=FRN+zaR9LME3k|0sX=q+3`!z&6FzBIEZ-s9L+R%r{bi(ILFwEs&5(BK9H6d3`pBJqegC5LjDC^jwxiN` zM3nzt>d(Ey$-B z0T=MwfY%6l$--f`4p$2T?MK{hS(F>kvn0DfW;qNG_rT#E`2Va2ujF*| z@M-}Y1-wzfMFQR@;DZ7_Dd6)0zAoT<0)8T3!7-wK0ZRq^x`2}fOxFe@uBU*P^SqVA ze+zg?F;w6-L2_-&5@!0j}d`S2s5tmTnC6ssxB|cO6 zK2e^8CyTg*5-*{|ODOTO{0Bt;JtW{CkLUdF5^%m4mmdi@SJ1T!xJr!cO9EDlalcu> z$%1aWfOiVHc}TzsLar(W93$k=BjC4$ylMiTD#|%sK-mrnTPAS+CG?2+bQ6B4bS1-6 zBj%NaB_e*l2|rYNhT@fDM7t#H6Z3bt2|rYNrt)Xb4X~uX8#p}iI~@u#lmahrgX zCQkfXQOTsqmyRoPmAXp3MGl+YVRPD@MI}=sHAUg77{{Mw_m0C3e(RiuSk+9xSPO@B zG8Ao$MOvDb(#ED(q%=68{H$12t%%h&ww2Coi`Lhi6|GT9DOOk2TBnrO%xOe<9L8FB z%5{;J)@V~>N<>9kOQgPvGKf%feM~8hHb(I~7MYFTvk*aAQ%zN@N-2%hsk2(D8X{_4 z4f4vEQd%8rYH3A<99&b4M9M&=BQN8msv%m9+M7@&ewDI{c%d^}krn4b4Uxte>*G)J z>ttjmn=kzh#S%aak3i5m&iF|GK;piHz!CV7`NvuUh++LI5JfE3|BJRnjE@}=WBzk2 z0hWA@$WQA*<73VrLL!bWnq>WzmH;s1r!k;ZaFF!Zq+cY^%47t{HWcA$(NIH>CcrM$uky-{AT_ITDcwp>Qk9t`j26ef3_f$^_$y2-;`haqjc^>kMv(D z{|Nk;^DhF9`jz;jNBZAAG$?pvKl2#pRfY*__%Y^B933FEk`VcuO#&ViWn7Q{(VR`Ihw;a&M+9|K?;) z9+3GY{LqxYdvPTr>|V_2=^Whn6d5B3e~ce0OSZq~?n=ZkkMCwc@o|v+E!!;-^p^;U zf15-IDD$6X!V@h$5{^Vz*3A;cua8CjM@vG1H$_=FrYXWGH!tTIiUh-_AWF7G_M6m8 zq~_AqpIFKBuQ4^)TtBBu|2vnsvjhet=0aOYJ8MkL1t-#ersDIG`xdGAVX$)nBZ`G{ zA+6Gm85471sil2N#pf&1?xf<6RHQvg#UGX2w@Aewoz(xS_z}?O0V9fqbCqKhsjrQR zxk`Z|^>8ZQ3cVUIqF6XrDO8pUy=hF$RYodOAEx3*_3Jr9LauVGBK4O6jZqn`NWGMb zKTeVQCKZ3YBK1fr{scwphgAHS{s}m-Fcwf!`|HCFkjE zQBF}o0HOXT6aVDT5dZnY4#*sLFg$WC3`i7%KVbNQ6s|--KKgk68Ihy{xX5zX~L@lubA3fC-6lk{Ivpa zGvTin_<#xDF7Q)K_=N&LPvB*Hmk9he6aGHn(~bKYLBCv#uZ?$)(hWSxk6a($F7SV4 z^!<7xU*NY3dbzGFZ~oz47+2KqYTu0zXSa!@GtiZ*_{Jq%7M`(d`Qe|T@*B2;N||_ zaRTobc)731&d!n6DDY-G(kAe7-_;??`8mT6v@czPe&yT%qh!rT=qZL5nGJyM5)l7# zUz6Q6LAn(VI^pI1t>iN#@bd}-tduiES+f~lL<}G<=;eOqNg}OV;N`xo#P1S#xvwel zXTbj>e(sqNU?s3S83vxLm;3&*f9!C;iGR88FWXha@S;!ym@DYzxyECH&xZtFo+q%oM9B9x z@I{9FGWZ`sFV9zGIX0}9i6426BKsl2@G^Hlr2Dr-FV8Pz|3577@?1yipSLrV^Kk~e z75gAmzC5Rq?FtEex6oIbU}?I*%X1la=L~5JfG2s|DdbK18+S1J{`SbwLkvIApI;-& zm**;S-2X1{@*L?)qM*~TX+-?Xb1T`OmkGQ)2b2AC8^cR3`r&dxzim{2A@3DQzs^Aa z#|-!#qMTpM^m*;_J zh)Oz`Jf;BSWjR(EWAbB92fiqcezpNm{VdPhB%d<{UYLmO`C$h9kyg%+JTH`TcrL>aEawv7Nj?>!pP??;Q!UDo=ZdmFmkPW* zPn7!qSyoQ}IuT}(J+Cl&5ix*XQI0%M-6QnLDVQMCpYq&O`YlrgUY8grYC?$5mL_<d_i@MDXbwuXi|vYxWZAy&=#Q-c?k zsWL{@$Z&rx?0|#Sl6*x(HNJzQHdI9$(S(#2SgMuAdRwX2?RD{h&+lXbzs=_30k_Y~ z0(P6-;}Ic;pt9SXZcb*mxokG2HByRzU1qTPZM+P>+bs&S+j+q0~fd&nWl)4=rpEB6{KWeiIR%&fS5xIsUa12G@9E!j`6oCy= zK<8X)RZC0N95vEdlZxQNsZOf6ctWs3z4-j|r-SOA4RrPI=3~jQzJzkg3>2SH7J|9AO zQOs{(Fg<7et#bHmZgil>H*_Ya3l(^LF29%h-i`*j3>6XMtXbc>Zs*&sHurYn@ z4v*XK$JFsVTpp(fMfnWnq|f5>_(|uuTo^U47g@ZxIPZ%2Fe>kZ7yFHnY@0#-RblCyf*X?okF{eeW|K+2rJ}-Iteen)vML)CA*fY z#@dM5Gz$}@CNeuyZ`mPrPB#P`9cFVooW^FTDh_uC6+#?}L)6g_pUrEBTtobg?4|EI zhsW->`|!_UgOc=k-NuPRji`lmMjC6QjS;mW($Lg0Clm9@q4xS+UboxsF^mBf)Y1k! z6>Vs%uZq#>xzH2+<_iX7P>slr($hD>X~U3s+~_-(6Dk9f*HCx*EDj93-S306Vb-GM zUfYmaFqK?h42>VN-R^bUaRb3PK+~p&)M?X$lS9F&p$vW0KN+1)J5&^O&CtRPp|C@% zKpS}-1UxRkvD);_V>80xbvpeXp95W!GV$1GL~5h0xDG+8ueqhE7FQ8eygypq7K^lE zY}j>z^hMiIrUw(p?({fqnAUdp0GVl#h4c4L)0gA$deD&$=rZu=_c)+*4MUK^BP)R3 zf30t-jaI96^kaq|h8lor^?IEix6cKka2V}HdOrGv$LsdFeKwC#666esVFI^Cq38ym z1n3(#RD%umdZ1NcN?gWike&hPS3k6m-wUPZh7V!GJHUpXP%pSnohmwXVtrL>D+VZ2 zL9%umNsAB4aA!pcIk&jqYbLKv=yC7XQm}Y1LCper=FKImk zpXoCteJ~F^8uDUOG|3>MCRclWf6X)P-nF@Rx`&Tg@Dbw z^lUopcCXXrg7w4t4mKWY+0g0mWvZL!q>3K>{Q`B0VO@|>?%;}(A8xagQgHZO&Y|@z zRG|mWwPQ4~7zJYnX4LYF;n~$dySBAPYSu=!6IqM9Q_v60l(Z1w|B@aNk<8*6_*1pyx(h-_x}S1_f8Q2 literal 0 HcmV?d00001 diff --git a/isim/TypeCheck_isim_beh.exe.sim/isimcrash.log b/isim/TypeCheck_isim_beh.exe.sim/isimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/isim/TypeCheck_isim_beh.exe.sim/isimkernel.log b/isim/TypeCheck_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..d292b74 --- /dev/null +++ b/isim/TypeCheck_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,28 @@ +Command line: + TypeCheck_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 60560 + +Tue Aug 27 12:53:49 2019 + + + Elaboration Time: 0.14 sec + + Current Memory Usage: 198.603 Meg + + Total Signals : 7 + Total Nets : 67 + Total Signal Drivers : 6 + Total Blocks : 2 + Total Primitive Blocks : 2 + Total Processes : 6 + Total Traceable Variables : 8 + Total Scalar Nets and Variables : 427 + + Total Simulation Time: 0.15 sec + + Current Memory Usage: 276.201 Meg + +Tue Aug 27 12:53:56 2019 + diff --git a/isim/TypeCheck_isim_beh.exe.sim/netId.dat b/isim/TypeCheck_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000000000000000000000000000000000000..260dee88aeaff7534167f0dabcb936e49ad924af GIT binary patch literal 84 zcmXxX$q4`;5JSmsNWw`95!>H4nCyk3_nrD+FisO^G8P!#R$c$eN+LAO`fA>># zCSUaFt$MX9K2~-R7Mhc)c52`r*^?hf&AqBx-^dG0Szl9>o|Os_GIQ3rZoQu)KR`^9 zX*QVUX*TNSy{kdeCTRt2ptPqwKr5|%0*U9>g0L=007w!BVUg5>fLj(2d_e3XdZTN% z61$aG^1wx~ihu<$l}g1Hn<(Q@>J>qo*~BfR`0@xCfJ$LN^u-T9&Q>{xYAswaEiE=G zVTnK)f#bx71BA0x&f$;l&Quy@dEtp=6{Q$_|9dd@F!twQWZgyFBt;DJ68Up@1Z97X za%(v?a`<=fw9c6sos|W7D)0#A1h0Z%qXgR_ASEc{v9~gmY1#9Q=4s33?pXD_dxrU; zX`bg9O9Ohm>E^?+2yb%;lAvwNoB8u#DcNVLc)p6NR>g>_#mnXK878(^SyyR4nKs7# d)SkCv)$^Nt&h6R%+}_U5-pPB_-^sSU{~JB4QCt83 literal 0 HcmV?d00001 diff --git a/isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.c b/isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.c new file mode 100644 index 0000000..89062b0 --- /dev/null +++ b/isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.c @@ -0,0 +1,39 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +#include "xsi.h" + +struct XSI_INFO xsi_info; + +char *IEEE_P_2592010699; +char *STD_STANDARD; + + +int main(int argc, char **argv) +{ + xsi_init_design(argc, argv); + xsi_register_info(&xsi_info); + + xsi_register_min_prec_unit(-12); + ieee_p_2592010699_init(); + work_a_4228824053_1272247069_init(); + + + xsi_register_tops("work_a_4228824053_1272247069"); + + IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); + xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); + STD_STANDARD = xsi_get_engine_memory("std_standard"); + + return xsi_run_simulation(argc, argv); + +} diff --git a/isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.lin64.o b/isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..640b26560b813076684b0f2f750448c51deddac7 GIT binary patch literal 2672 zcmbW3U2o$=6oyZdg$>IRy31G5g0NtPcBNetyV-sqKuOcISt;tGHkCjK1~-{atTs+$ zyCUU=6_t>p3bDU}-@qLgAi)Jc0C9o1)j( zt+AfXWJp}XS;s%TYmI!ee~C_!1d!dQAPgOe~-uG$ouMq zO}zT&6XhxY_!+3{qilWjT|8!5nd<#{^n2WY^lkpV_rIZ!`u?79M9H?7m+eyV)`GC? zCEG476&F{?l~RgZj{WDxn!`+E-qLL z#*LY~avuopVJT!(&Kca`VMea8&tFeJ0>gbtazK|rS8M+0)pw8(7rdp+r~ z#OsIB=ZUSGj=a&`8-2DL?J%xo&VL#(da#i(`@B=8`s*|BW<6idXy+ zGkxz7IZX0*(MXv-f3)?vLvB102Mv^ElG}&$)DkB^^=a5BKPb#R}=o?L>hTG+lceN7S*ROxihB# z5uV@6sr9P!V1fDE>F}t9=Xn~(b9RaT9IHN6@6OPNGx!%X_?I*I_cQoUGx!7Mn5Wul zRcHB@t2wje#9m=OO<$a$r{>{6R=cvbC)~j8iCx(>QtV`mE4N&-KK>mo0I? z!Dqjk(U|}HrHvIxf08Ba4}vIfM}|Ur9oORp$zI<-q}#PxO}w4{ET4*uzS{Uu@Ao@y zOIX%oiME?-V!K({T&rxY#j82+1n1up;auL$fZKsKBUPjXRQ zombG~J}Uk)`!H~vWs2VbWCOp +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/TypeCheck.vhd"; +extern char *IEEE_P_2592010699; + +unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); + + +static void work_a_4228824053_1272247069_p_0(char *t0) +{ + char *t1; + char *t2; + unsigned int t3; + unsigned int t4; + unsigned int t5; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + char *t11; + +LAB0: xsi_set_current_line(17, ng0); + +LAB3: t1 = (t0 + 1032U); + t2 = *((char **)t1); + t3 = (31 - 30); + t4 = (t3 * 1U); + t5 = (0 + t4); + t1 = (t2 + t5); + t6 = (t0 + 5104); + t7 = (t6 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + memcpy(t10, t1, 8U); + xsi_driver_first_trans_fast(t6); + +LAB2: t11 = (t0 + 4944); + *((int *)t11) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_4228824053_1272247069_p_1(char *t0) +{ + char *t1; + char *t2; + unsigned int t3; + unsigned int t4; + unsigned int t5; + char *t6; + char *t7; + char *t8; + char *t9; + char *t10; + char *t11; + +LAB0: xsi_set_current_line(18, ng0); + +LAB3: t1 = (t0 + 1032U); + t2 = *((char **)t1); + t3 = (31 - 22); + t4 = (t3 * 1U); + t5 = (0 + t4); + t1 = (t2 + t5); + t6 = (t0 + 5168); + t7 = (t6 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + memcpy(t10, t1, 23U); + xsi_driver_first_trans_fast(t6); + +LAB2: t11 = (t0 + 4960); + *((int *)t11) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_4228824053_1272247069_p_2(char *t0) +{ + char *t1; + char *t2; + int t3; + int t4; + char *t5; + char *t6; + unsigned char t7; + char *t8; + int t9; + int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + unsigned char t15; + unsigned char t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(23, ng0); + t1 = (t0 + 2288U); + t2 = *((char **)t1); + t1 = (t2 + 0); + *((unsigned char *)t1) = (unsigned char)3; + xsi_set_current_line(24, ng0); + t1 = (t0 + 7635); + *((int *)t1) = 7; + t2 = (t0 + 7639); + *((int *)t2) = 0; + t3 = 7; + t4 = 0; + +LAB2: if (t3 >= t4) + goto LAB3; + +LAB5: xsi_set_current_line(27, ng0); + t1 = (t0 + 2288U); + t2 = *((char **)t1); + t7 = *((unsigned char *)t2); + t1 = (t0 + 5232); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t8 = (t6 + 56U); + t14 = *((char **)t8); + *((unsigned char *)t14) = t7; + xsi_driver_first_trans_fast(t1); + t1 = (t0 + 4976); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(25, ng0); + t5 = (t0 + 2288U); + t6 = *((char **)t5); + t7 = *((unsigned char *)t6); + t5 = (t0 + 1512U); + t8 = *((char **)t5); + t5 = (t0 + 7635); + t9 = *((int *)t5); + t10 = (t9 - 7); + t11 = (t10 * -1); + t12 = (1U * t11); + t13 = (0 + t12); + t14 = (t8 + t13); + t15 = *((unsigned char *)t14); + t16 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t7, t15); + t17 = (t0 + 2288U); + t18 = *((char **)t17); + t17 = (t18 + 0); + *((unsigned char *)t17) = t16; + +LAB4: t1 = (t0 + 7635); + t3 = *((int *)t1); + t2 = (t0 + 7639); + t4 = *((int *)t2); + if (t3 == t4) + goto LAB5; + +LAB6: t9 = (t3 + -1); + t3 = t9; + t5 = (t0 + 7635); + *((int *)t5) = t3; + goto LAB2; + +} + +static void work_a_4228824053_1272247069_p_3(char *t0) +{ + char *t1; + char *t2; + int t3; + int t4; + char *t5; + char *t6; + unsigned char t7; + char *t8; + int t9; + int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + unsigned char t15; + unsigned char t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(33, ng0); + t1 = (t0 + 2408U); + t2 = *((char **)t1); + t1 = (t2 + 0); + *((unsigned char *)t1) = (unsigned char)2; + xsi_set_current_line(34, ng0); + t1 = (t0 + 7643); + *((int *)t1) = 22; + t2 = (t0 + 7647); + *((int *)t2) = 0; + t3 = 22; + t4 = 0; + +LAB2: if (t3 >= t4) + goto LAB3; + +LAB5: xsi_set_current_line(37, ng0); + t1 = (t0 + 2408U); + t2 = *((char **)t1); + t7 = *((unsigned char *)t2); + t1 = (t0 + 5296); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t8 = (t6 + 56U); + t14 = *((char **)t8); + *((unsigned char *)t14) = t7; + xsi_driver_first_trans_fast(t1); + t1 = (t0 + 4992); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(35, ng0); + t5 = (t0 + 2408U); + t6 = *((char **)t5); + t7 = *((unsigned char *)t6); + t5 = (t0 + 1672U); + t8 = *((char **)t5); + t5 = (t0 + 7643); + t9 = *((int *)t5); + t10 = (t9 - 22); + t11 = (t10 * -1); + t12 = (1U * t11); + t13 = (0 + t12); + t14 = (t8 + t13); + t15 = *((unsigned char *)t14); + t16 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t7, t15); + t17 = (t0 + 2408U); + t18 = *((char **)t17); + t17 = (t18 + 0); + *((unsigned char *)t17) = t16; + +LAB4: t1 = (t0 + 7643); + t3 = *((int *)t1); + t2 = (t0 + 7647); + t4 = *((int *)t2); + if (t3 == t4) + goto LAB5; + +LAB6: t9 = (t3 + -1); + t3 = t9; + t5 = (t0 + 7643); + *((int *)t5) = t3; + goto LAB2; + +} + +static void work_a_4228824053_1272247069_p_4(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + unsigned char t5; + unsigned char t6; + char *t7; + char *t8; + char *t9; + char *t10; + char *t11; + +LAB0: xsi_set_current_line(40, ng0); + +LAB3: t1 = (t0 + 1832U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 1992U); + t4 = *((char **)t1); + t5 = *((unsigned char *)t4); + t6 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t5); + t1 = (t0 + 5360); + t7 = (t1 + 56U); + t8 = *((char **)t7); + t9 = (t8 + 56U); + t10 = *((char **)t9); + *((unsigned char *)t10) = t6; + xsi_driver_first_trans_fast_port(t1); + +LAB2: t11 = (t0 + 5008); + *((int *)t11) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_4228824053_1272247069_p_5(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + unsigned char t5; + unsigned char t6; + unsigned char t7; + char *t8; + char *t9; + char *t10; + char *t11; + char *t12; + +LAB0: xsi_set_current_line(41, ng0); + +LAB3: t1 = (t0 + 1832U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 1992U); + t4 = *((char **)t1); + t5 = *((unsigned char *)t4); + t6 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t5); + t7 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t6); + t1 = (t0 + 5424); + t8 = (t1 + 56U); + t9 = *((char **)t8); + t10 = (t9 + 56U); + t11 = *((char **)t10); + *((unsigned char *)t11) = t7; + xsi_driver_first_trans_fast_port(t1); + +LAB2: t12 = (t0 + 5024); + *((int *)t12) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + + +extern void work_a_4228824053_1272247069_init() +{ + static char *pe[] = {(void *)work_a_4228824053_1272247069_p_0,(void *)work_a_4228824053_1272247069_p_1,(void *)work_a_4228824053_1272247069_p_2,(void *)work_a_4228824053_1272247069_p_3,(void *)work_a_4228824053_1272247069_p_4,(void *)work_a_4228824053_1272247069_p_5}; + xsi_register_didat("work_a_4228824053_1272247069", "isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.didat"); + xsi_register_executes(pe); +} diff --git a/isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.didat b/isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.didat new file mode 100644 index 0000000000000000000000000000000000000000..78184d86e07252591e1103d39552ba52198dbbd1 GIT binary patch literal 4656 zcmeHK&r4KM6h5VumS#mil&I_%6^JuVV>(7S7Lu+Ca?vIpqw{heIzO0smQf)=`&xxi zix$x$iZ<;c@DFGuE`kVJxM|ToD6sGIy)%x_8JjBw8GZP@bI-ZwoO|wl_uez zcf!6V!0Z-2MXrGNH;Ozd;SawZtr3}n-_tsgd9bctqz!a8iHyVEwpAnv-q|M7&>(UQ zyb6wkli)Ns1HK1|W?y<*`DZtL1_FN18by>Z(f;i7@=lS5;Iz;1E)goGB0dGZO0+Ei9E z9@mBbF*I;pN+Tq7wNq9Dy(=CgU^fD?ejc@}WbW0V_fstb4#M$+pQmVtP3v1N5BCrK z&LR)@OA{n@wNq9D?jOdDA^sF(ub)TldJe0}wVr%dpd!69=5uWDl-Ewx_gP!-2zuw6~ zyQ#m9&w7=7uWaKuEK1f)8_yM`j*aFoq4U+;aYT@WJLO3}^~~znao9+r)KBN9wZ+j- z2B9_T-4cfb83e~8^zMkGO$Nd72#(LW#C<|kgx0XPqQ}Y(T_;M`MyX?~H|;@c-+#G2 zRzQEl@HY{^@%%pXcTY3e0`3P7gGa!l;Bk=OI)0}LZqh2aiA*w)Pf942P1_--V24JX zL?+{e2K)Q_yE>zN$)ueR4c*Gw7gF}fSo@8XnXik;5k^X}mB^2z#IbGL%2|=lSR~vL zJ`;->Rgf|BPMG;Snd?URN3G$Bf>DHP95HH^-^jbCqs!30+sJ>^a??4Zh@OkqNH(3D zaBZV7*L7_$%QMHEcRJ%QCx3lYJHa`|hSkXwNyE_sMcb>L7B3+S4vofAO4$7ta{s#cxgOn+vZcE{FK;9eL9o&(Hfgg{9_;n;6f=h7)W14(JofHy8Cxnah|O+YI39Z+x(4)7zPJUouYwk5 zGPdw~Y>rbIg{+65Yzi;-&PVv5vGiDMjwsz@+-=-r{P`4`UrFXCF~s!+Hs(GPI}0g> z7yJCcsYAx@rg^_R$qcu^2Hy%lcK^9^=bE4UT9a(>%p=D?t3tPP%GXdROu2fINZF4p ze!n_&JobT?@@Bv=<&WA@zIIjn_1KB?rF^7Q%3I0&B!&T4p5t>dwCXEweAYIKFv)_h!CsOU-*Jg*C6} zW$huBLD6(+?ZrUxb-n6pQ!cKM)%U2C6R)$GHzhC}zy)(;Mp zthBD@^LjdwNm)rfr|aolB0H#6YL$`$PCy2#v(xrKtzi!wsFh}BY6D}1LLrw)8DtUYceX?CJT(rgm(@tq0(;80Q ztE<$i+fyaYu@5^;gZHAuw0(^R)9SO>LG`4TU)xPW7j3u#w6v+&a%oBh>$8NHXQ!v_ z8E`){>)0Bs?Y4$fDjyUEcGcQnFHPHm=&!4yV2;xu9c$d-5PvfE_kd`K3cl+#g8Q(F zp~A}%Pwkkn$1cX9>BY4{`2!Thl`!mM6+mSWHP#J)p-)x)=h`R17USzsWFdP;2BA&- z(FSD*N+@o`N$#orx*0gyWs=W2XUtNn?3J?yJQARlGJpvMc35I#VRcx04 z+t>~Q65wy*+M)mOZu@+G&2jZ~@N5H2ykwHA@1flb{7GnDuLKCc0aXUN;KN<;y zF8HHe@PBo|Kkb5VfOYD`e`^<?m^m+>VdJ3ua z6q4&HB$!ql;g5AxYcn;6S);{W!$#5CwPVMg!h|(p3>OO)JKU&Qb^AcA;n;P{yKb+6 z;F?}On8Q`XY8={UrE=+H zVlbua$y6ej9n58QE0X{)mCESdOw##$E}KseX0wTOHkr`VS;+=DR_e9;fb{-az2R6+ zy)@IX_LrbJH(Pf&-7X5}37AP|A&XotpTb`vGRc)40Jn`zP=0{lohV|vhP}hP%M*?| zD7b^-nM3$*5ClQ}FciYKAP9o`_#>Hc13?hf$M1mfKOqQ$`skDJ0|`vZy^ z_XdHZzB~`3UGPI)@TVjk*MjnWMZ#tO?+IMZ>qALj&Z`%RgQ)zs2wdfVV;8*I1%F(^ zW&Zz=aGC#Ufvfz_NcvIWOY`ufz_F6w!=N}XRsK6AT;@{}xa#Miq%ZSakZ{=#(BOj8 zjlSsIruzcnbk5TmLO9(Q=srj|-4~+VyLaD3|8(!5bCvX|FVy)7jHkINfT*a^2NsgN hXb?_*_9{5VbrhWVzoOv8|62uLnFk~eLUC!{egdurwR`{o literal 0 HcmV?d00001 diff --git a/isim/isim_usage_statistics.html b/isim/isim_usage_statistics.html index f002221..219a6a7 100644 --- a/isim/isim_usage_statistics.html +++ b/isim/isim_usage_statistics.html @@ -2,14 +2,14 @@ ISim Statistics Xilinx HDL Libraries Used=ieee -Fuse Resource Usage=2590 ms, 103952 KB +Fuse Resource Usage=2640 ms, 103940 KB -Total Signals=9 -Total Nets=34 +Total Signals=11 +Total Nets=6 Total Blocks=3 -Total Processes=5 +Total Processes=4 Total Simulation Time=1 us -Simulation Resource Usage=0.13 sec, 275152 KB +Simulation Resource Usage=0.15 sec, 275152 KB Simulation Mode=gui Hardware CoSim=0 diff --git a/isim/precompiled.exe.sim/ieee/p_2592010699.didat b/isim/precompiled.exe.sim/ieee/p_2592010699.didat index 73c5e12712a5deb9b3ad8c70ccca632048f1ee2d..1a519fcb848d87582205cfec30266e0336a246a1 100644 GIT binary patch delta 167 zcmeyP`$w0374L!HJKa(@vYT-khxIWrF)%O)Faoh&7ZWp(EdgX3*mN$xddu`9Oo*So>@W=iwP89(r;R7-_|S1c~x{qp^4>_Prp z;FQ4A% z$c?~(iJq(yPzq8fi%;vcanLN9U_9A2Vg(F_bOif2Kc2fL(uOpkNUxNYN@Y%B0#u@n zl^V8K6tkTY%{g{}Zy^ic(_}GonLk4g-Jn0vxYSb*LT}DmVAjA^ve;=hof@TlIG4Kr zKe@XQ%R=OUKPOscaeGMvVD>3loOXV(oXm4^a{r<`4}GQu!Zo)bHnt#ZPp$1~=ZxB{ZzE96S!8X2(6uA-#xT%0*u+6Z z?}bzi)wtICq;I6G<;F_fafRa|e>z|F!sp2vV&QXy07Ji!&TsmYFp8vu-_}k^8$Ye? zmTumBvDt01LI8CHcc#_bux@u)&Qs8G|IK?V$gE#Q5KjUVLh_W6j({g#h=x;50SA<> z*PgPoci#3jVAZ-rXBc-7^mGE;h&AnNQ_^o`b@ ziqUg8UG+I6Kb)fzt@h(AYrw65n0X1(y$MiQu~jP#C>S*)fI2;xNnU+%@aXB6?kwOv o6~|+g_ovFmB>tG1TV^u}CXcK&8Mi!@mn@LPYzQRDUHBj4A7(^8xBvhE literal 0 HcmV?d00001 diff --git a/isim/temp/fulladdertest.vdb b/isim/temp/fulladdertest.vdb new file mode 100644 index 0000000000000000000000000000000000000000..aa7acff7d72eda99681b40f5164ea866000e61b0 GIT binary patch literal 6168 zcma)BO>9*~7`>$hTWcv)G)~Wu74B60T^c=Sde7r~bLT$$CDWPj@60!6W-j+W+FsvY zzafe)MvxnCZ?A7$?tk?Jl{)_EN*c#EuYCK(u4wsoj+@ZV&(6%}W~a(iEl}pmGxL{b zCMVBaX?^}V@r<(qe!Ux=cWe<6DjFnRX!Mg;9+&?W?Rw7AhdJ9o9Ww{K~gI(IIf zI@2-(w3*qtvn`ECS%*eJCCl}zz0(f?mOk1h=gSNo9PJw%=^G!L`fg$Rlb)}RDWn0u zVzF4*i2m|Yxtt#;94QUw2gk<_eE;R=r&>$>aA0MhUMHYia-!2FAIH*ZBkBl>V7w_L zsfQ618^MgB(b6mGT|gj)wW?;tlrfX&NKu^_ZqceNkw;zYqU|~q?#X(L+0#$^HQ2d; zACpA(tmIv@PN**S2l%d{)%i|Nbd3NHC0oQXg^qiT&5mPZ*V+kU?dkmkpj*pbCR^*F z&y^tBsH+6oSc1l}87I5QHCi)6b;>Y|$RFnxgq^!icZh+`!O6Q3-HhIFvP?U5_7r>4 zG}g&u+#P5|;IyKr&U;w7c2eB+g2M@rmpLHHFUi!b{SBaOMz7hISi&I{_YX9B@R$f|7b0_TasK(R_34kLs{H7it5DfxG=7EesL~0 z!NIo9!NPvKIC_b>*r@pB_5 zrq0Yw=kRz_v9Lu15&hID1Ytn}k-sL0cEcegAY73^$ZLuJv}y0i*OYlMr|`u>4UGR z&LpbVL04>-K};X)ui@pq-APpKgRJNsCi=aJxoLOo-9RUamz&Xe7_Iaq(R{EBmI|ZA zllh^6((r(A7xed3BY(0S7-RQ|0FW&s(V$wmW$Q~2bNIxYuM(%3m`DL#QxL!fF z!)JoVEMtjxCS|Nk@R`|bGBsxA0xt?PtBmVRCjM2Z%&D<zRfE-l2yc}u2QcQMDiRwbSLf>5kYtjmgC>CHrq-q#u=l}? zwN-;bcXGFJ#%AmvVjkX$o1*BniBWZ*VG>r1`Ku=(pp2OnE5KFU)$~(R@q<1XbSJD> z&e)7=RjhbhF~PXyIrOwMe{8HcP#Qg%A0I1@`#RiCP~Vz1d^Z-P4c8pBIoYEDv@SH# z_Xv6wh~es~l@YT>OS-32xY=?#>Q*$Zv`wHP851(4EA_nMKXBh)hu$ZxPn4SsT~ULABNv2kWm^CBWs)W{g5Mov=&SM*W{NX8&Fa(X}~LO?QR zQ6s;>A~i4jSkRrs#u=g}39EEmfTM{MP|(xHU&ETwi$eBz;#6!5#|M4VL2+UuxT2xJ z&xENTlsH{%p!sHB^%E@gs1faN6mbE8{AN>KOy*lyZ0!6HOa0`)*E1!u>f~LVc=*by z!sE*r@np}$Q-Fup>EZE(jCitV;wiwx>+_MzZWRlXrN@ z{J3GV?3u}6y7D;=40ojX#lqws=&Yy#t$4IB&d>2)V?S-i?gx6r#m2_mv5}9F#Y})k RP5847t(S@?ZTLTd{{YwF;41(C literal 0 HcmV?d00001 diff --git a/isim/temp/swap.vdb b/isim/temp/swap.vdb new file mode 100644 index 0000000000000000000000000000000000000000..cf951a0609c8313fe1aab540968c77ecb35eb086 GIT binary patch literal 3446 zcma)vtu+tDvvcICi+z%^hxbnwM%aQ<$xP z@Wz2(e*JRht*4XZ8*GlE`!io2mmzI_m+r>(yP~6)t)r;-Yt&;l}Nn9R@NQE){`pwEbjL?lK4uR$J<)vB|GqskU9 zmMfT^m`+EL;1LN-VH8wPU(D+dU0G*K$ z2Y^^$0) zM%X3PgZ6#$=i6vI|L8Ful%MOOAF`^}X7Zdw=-!8``PBvO_6YLdgT%)l7>|HICF3qp zH3Q%90{kU{uB1*#*&J!MS_XfP?dSRUnyqhu1eCo`-Xq94|iHwc?;(9;b#V5W}dwg@*O z*9jJ0$h?Vr6KWomD91vKqP-*1>Ti;-F%#SYOA9|LFTV{(ro|pbc)jpWomrns>QdYc ze-R>a(bqwYX!R`MKAE~ z3yS)1G4gZwd$ct^0bosX14RsvUp?~;A03`p#zS4N!HCcRyar`uJ;MI?!$G*zxyFz( zgaRP{Tk*lSNY7pneQBT1g#n~a&dcoW)}dDSXwxn-?hG@hV*n-K)*ffSS5`~d#mGg)7vSc+%$z@r}KW(yB` zBi52Sx#~(@X&yQJ|sg3vpW{ou9rlms-zbW%rOs3~ScQ;hcZqncv6mXmLa Q$&_M%;iedWLjQ672XF|_9RL6T literal 0 HcmV?d00001 diff --git a/isim/temp/swaptest.vdb b/isim/temp/swaptest.vdb new file mode 100644 index 0000000000000000000000000000000000000000..55a46bf426b0f771ecf4ebcf000ea471715ddd8d GIT binary patch literal 4833 zcma)AOK4nG7``#FHolUk51*w;7o|4U1}tqgPRYTH}W^Wx_s%eVC8P|ooMs3XBKm_(~arP7}Tm^ zZ8SetJv{nm|G?>+n@$J8+P|QE&=rR#=Apj?{c61u7K`s){CH_$Vc~4OP%7mM$LnFW zP!CU*hwF@V2O0_aSK8y=jRz5tI?ARN8#FCe^2JiVR-L}GH1pA(Z=RP)2XtW=7VbxX zakk2%EM4#KA&7;KsQl8rc65W8dJuoC4iv40pjt{f@Ce| zaiUTlQ|}BiY=~8uWz#?=Er(rT&%4Ext(J^q&4#jT7;qEr1kav&%CACB*gttk_RRmb znH`4C_6O*GL~BbcndmA5Jnc7zJc)`YjLh;~cG+raD_-1Fj!)D}>G6ruT)0PQm1Tu)YVqS(6pYIc86?=S#fK8}05z z3lXOaJ;z)xzGA*##n2Jrt&pMbuRb9WzY5!Pd$li%y>t^poS*< z6B)i6HkER1B%JuaZV^9yE5`0E!`}rR=>UwZJeI;2{ye=RV$=Rg#`v74ut^^o+g8}* zLk!~6*d$N#W?<9#No>Gy{fUiuq~|>}IsNY3Ob%Cq4j7#{K^-QJNt_@z@C7hjeCZ>@ zx0;}QI6?902};hKq^!l)QH?EPY&=-PCVhlF;#*;p9kGc|W0O3|n_7HTvjN0V0pr1` zlnWF2;qaK{6@x{GSI94Dd*Sy86kuJ!x>eEn4tm-?+H9LyWMsS3+cI`mQITD*w^JF& zE+XH;iU|5r*4afv*1L`MJCk!WlT6|moj#T~T4VLr_IPWS@?yDA2}g%cjFeA}q{r{` zXo4OOtyBkrA?D^Tx1M2@AdkM;Ds5;%UpxVs*-r zZ}AD}|G4oN@BPPFLFk{B_ZWX%$4P$ioa$JW?>N1irCW{f0plw^*=WAqbYG{~W+LEd zC)vd-!V=#>Mp&oV?jvX|xkU$kr2Eh}8fPZw&d=xESy(54fg|km3K@G>$C~EnK4fE^ z>@Zm@O#&YwNvr_#YNc0|NdMuy)AvFt5k2IH0= zMNc{IkHGEs?DS%zX#j;IAiJ%t9>i3%)rwA;qi4imM3%dQ*1T}e5)Zs`iIR7K49C1d zWMrH}we$f%GUPFgG+S>RcU(RxBg1Dc-*bM$W$%6{BFwT+@+|nwBypQ?w#lUAlB7TGnQwl{_+h zE41<bc5mO&}i;1@lF-aa7z7;0<5R>>cCdrwfl!a+G zX_C`X=|je%hS0}wo^l4s^PtDZVh#D`IPVxk;ZOJ83@ODAu~!j_HgMa;}V Rsm*_w|8syD|9BR%0swyG7G(ec delta 63 zcmZ3eI$u>pC`X=|je%hS0}wo^l4s@!=1ZI?;=`ypF;R{~!cc^_p>c)y#?B&UW}w98 Of6V_mK#Wbi3t0iJQ4iEgXl!j;xqp{{+uK&k)VH}_o6+_}?w;G6G!=lsv(JNJCw zy~*fPTLv%9*%!794sHK?>TwnW{EWo|{)dl0Yu}bzyBz*vjh(gX6pLE5p0DNi z?5Y-@egD-rHa|bVb$2eeeol0|wB^ZtuP2CwR;^SnA3FZ-vBky3BdtQEk}piOO0$Jl zX;*c!b&2pHY-e5@bn6G@^CGR0y|S`2q^VrXmn-@DY~%A|b8m0`^ihM5T&YwlY|wms zb!ln5SeU3jGG4CF-t*-rA3Qlyos>e`dG9n1Eg)-#>HHcQ5i3dpJR?pdo+Jq55mqFd z`(elx3^g&#k|J3-x{KVkS}?qo<{8AjAiCoyr_J?9vMC>Gdx_cWse6F zqBuZ8I51&wFgE(%B# zZ_P4o$yx!D*lem1e*EQnQ~~n4LHotr zso`}Ujk;KM9MTxppt3x`8#J43z(r07sM7+ZWzcNInA+8&`tfSWOi%PA7Zj(4gnSg% z4YD`o7hD~pTgY%!J35b3J92%Uavo7`jGaZ!8S>D=D6iF^W;aTRBB96$6AKDp$V>o< zdywbZP?m94l?+GZt}3MioX=^xN!@CThMcO{V7IBRHP42;>ozc4w_RWpfP4^}M4kS##a>d0E7a z;71kQUcSh%qJ4P?CXXD?3s0}tVrk!hAK!dRVpnrGx+KfK-vwb<{6PMKFkMHZSc-=q zBlch~bY%FT57>jrBgbE84`#z2jQ95a_F&koJ(y0Z1xoLMC%&wB8#SAK07g#ek5y2{ z;O!2BF^QWaZ?Br@?}qTlQGBTTqNe%IwnOu@_**fKX<7c|pf^zylBgY3Ga@k(HH;-{ zYmzoTay)T6N&9rTGq{IIkzfcpJ4P`Oj+at?*(;C)t@(0OuG7Xe&LiVG4yY_=0 W?!U*xL{1N`=W|c8ghuuMhkpUI-6`_` diff --git a/isim/work/comparatortest.vdb b/isim/work/comparatortest.vdb deleted file mode 100644 index 03cdbab2bf7a1f62401431fde9b2a7c5e615d97e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3905 zcma)nijCJtu0_nd{Wn=hJ$vS})WJ z(^J*by-&8j_~zA5ZhSmRKK(~>Sd8-I!YQ#fa@OfaEiIQ{JNMq1wY9a^8^ubcP(0p9 z=ZlSWsyf-&DW4sB5T()TZ?~cUkm6zkTUu`psVUbAnWkxx zB+s31HYZBOIlqjt_eCGBffpB7 zR~B={X3JKFeYG+fi)@Z>xmv8H(-S93)sv;}{u`{dWS92!&1&zL?`>+Ct)OK6?Ah3; zlgnL>HH00>9N2L`l>Aw^MXUp2GXNf@)348QA~vJ_aR_|J#WP>igYPUm7T->E!1*3@ zzNY&nU|$iTmu+W^V{@TUcgA?vs%4+Nhub zHwsvgJ*Kn?$)HGgOQ#IEWDd-C%3$bwVER@W8^^LE zV}vC?q82<{H>~$7%j?aK4opN&E!ypt`hcclOKs7?f{dG@9%?};XyJO#ReK;eQh6iJ zgi!6RZ5VTyue=${ryo(hLsC97W=`)_zDIVq7u@*V0m%6{$T8_w(qP!?C7(dv5eq;I z#_vFmtOcU2I1IWz0I^Xgh-c_Xh5r-QMoO~jrxe3wE;PSjI{$#+s;!*-sVv?W(>@d6 zcyn)QZ{&F9bQLSv>f3IySV+^mzn_LHR1p7df6Mu?5=+e}OR&h+K32GrEjK0E8lE|Q zyKK#eY)$XZ)^Nr{y0bNV?`-Xp89epkKF&0n;o%JS`u;Q3eR8lJ!&JEkaW?#l&iW+g zpHlgSI&rz-Jf=QD2lS*<#X6r-j-cVx!gMN!ZKOGfqQ`Cp+y B3nu^o diff --git a/isim/work/fulladder.vdb b/isim/work/fulladder.vdb new file mode 100644 index 0000000000000000000000000000000000000000..a4ff869e91495ed661609b59a97fe282448b597a GIT binary patch literal 2147 zcmb7G&uddb5PmWKsLD&zpf(grD}opVQLIudkrYg6LNOqo1Tj#>Qp7*Ni!UUQ)R^81 z1`qnuAf-VF-t-{qS#O@S*81z@LDaM8_if%hCTTP&KZ{w-J)CdHn3~RB-3?tU2hS}@sl~nm zJ1hC%VX4{cZB)e4IVg0$!_Rw+K&O+S6(Y_cidv$mk13m;JIcZ_w07iZxoZs{(X_QC>zdbuOZTz&lTDp1j#pZ33 zr-V==xHD6DK1B;=-R>}*qp0QnoA*|tn7;-PPXYscag>n`mnU9?hEpAY18S}3j6D!y2a>Ub-AgGl-dQvHS3jix4EM*x(_ST z>O~ jT%{PtA5(M7yhxJCBkP%rTb{~`9+6&Bna)4|c!=L0VtHL(T~51W+xGSA*RSiEJaZQ_ zo$Q)^cjk0gJ5rXRQBcHUYpr+V{eY#9wu#v)LkCCt2Z#H|Mkl|UoBFu-t0M|wiRE&+ zxDx$^g=)1>DjuvHE)0&1?*0DD&rYnW48Va!eR73>Znb_)n|#zYrj4k>D1z~(5MK`? zC^kY=sjo$3V_2(dR!kK$iH;1_-f*2(Wr;kFwJzGOV8A_D4xK&yv|omu3;59|vS%f4 znRR05Vt;_|5?V{>J&QeG&ZZJ#;&y!#M-m_2SB%$+f26BL!T=_v~jEw zWMc`^Q=|J`D>tU<5Y7g)l6755KD^vDY}xf_jHYmI%5 zS$l3I>sFt|9Ud+gO6PFCfgdtzDKIEw1T?zk|nc6NTIZ=A~ReVh8$ z{9iWW8st~Q+i%c+NBaZqPqe?#D6gAc;rJTtHm}?8O+ST8A>IQ}Qsd`Fj!&MNnd-yi zO~t~N5JdEIOd$vh5{UdwL9`nV!Gmx`0+GKdh_=OokdmW*Ir29J(JomKaw-t{b3t_1 zub4ycaH>_xZ)52H4ksO-U4)FvO*?4T>Q z#~@}8_Sf)o-Yq_=`9W6nRuld9_{@|$_D<1B;^k&E9!5*OKAI1f!AfzYe7rDJsvItb z;}3YuAUENbk*#}?y8}I0ic*KfmmC0oO~&cKA$?&N3Ew zXEMeXkI&3qCQ~{y=Xg<=*u3k@#*t7nNsW=Kb9JronIvkT381-SRmWA>`(eh~8o@Aja=US+ zMh_1$5AV6vNpjM}sJc%v2`k3@jgt^i#@vb(;41Fv_{mp%UkHY=6ILu|YV>9mE8bR2 zFm8DtdfJ&kI$ADOMvfQ8M$2R27;Y!1?}j#f2Nt9a*BrDt*(n919yHSTAbJ%DwRUP{ z#H`7J?kNY{Y&jiuwaq3_0qzWtjLe42_tT#Nz9m`9EL28+}Tgjg6m zNsTj)nuigYp+?3iHFBCNNKGjQBx95sIRlQ%F(4W9sFB|kMQR2^ER3C`#+gUWBZ$mU zBV&{rIZYL$W+(>1E51OAQ|(hk>AivY7U237&}RgGmjd4U}ve3F-nb`rV3K? zXbeckC^d2hK##?MWXz*RenT^?AeLJZc_CWaiY!7^OzeU`0n`Kr%+Dk<%=P z96u2Qk};1O`At!z=E)EXV<)L`=27z$A~V#;7^OzeU`0>IfMkqPBd1vosd**_Bx4>m z@*6BtgP+_QSBJ5a)Hw5~c@B{oYGjO3Bd4i?D|$W#Bx95sIRl_$F(4W9sFB}bk(w7m zER3C`#u=l=ht)eSz|q7BDClY9uVJ0&MIn1Uaq2dP_0p6wnk1u4zlRXzt0Rdi5fX6Q_;>jMxLyjYB3wlcyKeAFJ%ic73Ymm&38z#%1 zn+&GwpX0!AM~Yu8Ozy>)6}6$24;9DwIljx-Pa56*HjlW}*qA#y{1LL43DBeie>S4+ M;vkT<`0s%K0Cfl51poj5 literal 0 HcmV?d00001