From 3bc4d7a489a0bdf5667b4eb0ddfdfa89b3f1dc89 Mon Sep 17 00:00:00 2001 From: Luca Cuzzocrea Date: Sun, 8 Sep 2019 23:26:50 +0200 Subject: [PATCH] Inizio test sommatore finale --- IEEE754Adder.xise | 66 ++++++++++---------- IEEE754AdderTest.vhd | 109 ++++++++++++++++++++++++++++++++++ IEEE754AdderTest_isim_beh.exe | Bin 0 -> 21792 bytes fuse.log | 70 ++++++++++++++++++---- fuseRelaunch.cmd | 2 +- 5 files changed, 203 insertions(+), 44 deletions(-) create mode 100644 IEEE754AdderTest.vhd create mode 100755 IEEE754AdderTest_isim_beh.exe diff --git a/IEEE754Adder.xise b/IEEE754Adder.xise index f45da8d..d404ff1 100644 --- a/IEEE754Adder.xise +++ b/IEEE754Adder.xise @@ -16,23 +16,23 @@ - + - + - + - + - + @@ -42,7 +42,7 @@ - + @@ -52,11 +52,11 @@ - + - + @@ -66,11 +66,11 @@ - + - + @@ -80,11 +80,11 @@ - + - + @@ -94,19 +94,19 @@ - + - + - + - + @@ -140,7 +140,7 @@ - + @@ -150,7 +150,7 @@ - + @@ -158,7 +158,7 @@ - + @@ -168,35 +168,41 @@ - + - + - + - + - + - + - + + + + + + + @@ -455,8 +461,8 @@ - - + + @@ -475,7 +481,7 @@ - + @@ -531,7 +537,7 @@ - + diff --git a/IEEE754AdderTest.vhd b/IEEE754AdderTest.vhd new file mode 100644 index 0000000..cc0d8a2 --- /dev/null +++ b/IEEE754AdderTest.vhd @@ -0,0 +1,109 @@ +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + + +ENTITY IEEE754AdderTest IS +END IEEE754AdderTest; + +ARCHITECTURE behavior OF IEEE754AdderTest IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT IEEE754Adder + PORT( + X : IN std_logic_vector(31 downto 0); + Y : IN std_logic_vector(31 downto 0); + RESET : IN std_logic; + CLK : IN std_logic; + RESULT : OUT std_logic_vector(31 downto 0) + ); + END COMPONENT; + + + --Inputs + signal X : std_logic_vector(31 downto 0) := (others => '0'); + signal Y : std_logic_vector(31 downto 0) := (others => '0'); + signal RESET : std_logic := '0'; + signal CLK : std_logic := '0'; + + --Outputs + signal RESULT : std_logic_vector(31 downto 0); + + -- Clock period definitions + constant CLK_period : time := 100 ns; -- MESSA A CASO. VALUTARE IL PERIODO GIUSTO + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: IEEE754Adder PORT MAP ( + X => X, + Y => Y, + RESET => RESET, + CLK => CLK, + RESULT => RESULT + ); + + -- Clock process definitions + CLK_process :process + begin + CLK <= '0'; + wait for CLK_period/2; + CLK <= '1'; + wait for CLK_period/2; + end process; + + + -- Stimulus process + stim_proc: process + begin + RESET <= '1'; + wait for 400 ns; + RESET <= '0'; + + -- TODO: FINIRE TEST + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00001000000000000000111000000000"; + Y <= "00000010000001111000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait for CLK_period; + X <= "00000000000000000000000000000000"; + Y <= "00000000000000000000000000000000"; + wait; + end process; + +END; diff --git a/IEEE754AdderTest_isim_beh.exe b/IEEE754AdderTest_isim_beh.exe new file mode 100755 index 0000000000000000000000000000000000000000..3209988d8d84424c4d5c423f8f5aaa98e3cf50c8 GIT binary patch literal 21792 zcmeHPe|%KcmB0A~DTPcx(C|Zf!k{2#NHC#EOmHSMkvDe02*F|(of#&RWHgzH^8mpFAK}b^6wo27n6~*6g1Qe^Kh}PNfx$oZOG2(VV zOaC)BpZCs>d(OG%p8Mn7d*7Sf(%`u~Hz$Y5l*^VfqLdOlXBl_Kd@DF9cvY;B+1X4s zgN*~H0GFNT5Hv6e2ZU(}3x!-BU_Rlwf{|-C5CLZy6q8VTNRY}KO?H7A@L54hh??+x z(j#AAuyYd7$B_~ERfDfiktHddLGF=Wp@WlvK8{FuNQg@)>qm8?YnG_rtXw-NqU{8j z!tbMy#{#^@x8lu&Z@SD7*mRklBNEmcY$l=9y9#>b=l?ZHKNq!!)-9=6)ZSd$9ttPA zO1r9-lrE{T#Ui%F-2Y-2q$)03v6`Ef@%V};XdYlauJdrsz%>#d!GJpkwo(%XkT(bmyAz&4*xwveC_eEd<3RT!S%;)l* zBC-$_n~d6w7yXawNGT6|iiJ$y7sx*Vn)%dLl%B+8=Fb%4td856$Qm!Qlh{bSO5x8G z^bR@y@J!s6bAL*h-ss~j*#^{)6wW#IM*ZP*{C@-A?9Ue1r4+zr<}1WR`UsbqKT{02 zJG1y7kTB9R*qg#8G3!D*r{(Ql@F%0iPk4NsCH;RDl-a&jbe!*H@n!sJdNRxZK=^-1 z*gquf3pTq5_NX0STWaU!itsa4@CUN^YXv`7w7VQ5k7oHp^`Z24Lf$UwE9avvoL|C@ zWwqA<=$QTggj-?kMJ|61+a~*=2++PP`LvL~5dEb1H2t;$e5$Wq_%G*<3Gmlk?$?E# zdMlWq8xNYTpjL>U*TvGDy17)^TC+s{c%6&2`o^NN#KOzi$BJ3-|K09d`YD563 zpD4?HoG}17O80_Ka{om4n zSk|~ZBKUe%yHI~O`yUYfp=SK);*NDebGxw)@OoQ2B4KYV?vKX3Ugo`Gy?14>H57{n zqt14JEEbHBbZ5LR8uT}lN*U3ycynOkLL!$iSm^5Vb_S!dNZ8*Vif{C;FJsrBLBL^LNl3B)5e zu$I=K!E1+HN3bInjI$QV1z1a*Y^3hN@ z-U8pdQ1dt-xg?^{;Sa$9L#->+?p>8w7u$${bgb}q1f^&omhiTO!XeajMdjDL%RE=s zJ3LMYVx--9-K%^e1kt4 zyc+EviiE#N!{gr=j2iKCUx_$3!%89+Y(B#pmoKK?^4E%Ac{yB)UfCH(MPdk}x7i=} z8=ZpJ)fwsB7!9?yp}(A2qnDwarcg&Pl8B#aYgL9L?UB|mY^haQ&2xs1S8s?!Z*Ya8 z!2r6$#`;7{OE7w-HK<-63T~h#w~}5;)`8 zrF?Nb(7w(e542IkASS_ZGap=HPB}9l%PZRavDK#x;xikmi}9F<#W#-3&q10Jz9{lW z6}+v{U?+2~S>vd8d&_N?uw@>1JxK2*wh9CHF19VU(MFo(;4hc|5oRnGa#`L8$DBu! zhP)Xwa3e=rGi;4Yjp|AL9PG6XuHi*4-(S-nnD(B({pk%@q2;q?zHVVGzTi$Qt@2m| zG$pcfD{w$6ew-Tq1Bg8+SW5!K!`Zz-TIUF-?^PN795dQ*>8MiX0k0Zpt zkYmQJ%IV_>@fUE+xY?aPju2m-jSxe1`Uvnd1M?of(di>L=P%}%aa-f`afIyfZo?^@ z+c|w4BR-v($kgWaag_Kq95Zg4oj#6GGl{JSr~92g4v8<<;>5Ve=_A01j}9@CwmE$q zC%)Ws65~fs9|0~e&wz;D?(`Ahd^%T=X{Xc2QL@o8Mjq?q`ZNG9NX6Tia5FEjD!EJ>y+ z6aP}l1hkv@bXF&mYT}C{OrE9o94XXa%3(~e$;B;!v8wj$E8Lr@{KLfx%gp--cgt)$ zFrwQ?Cw(Y`H1A!ap~%utbNY3nVS}XyIQ=5g)K$~lIema=>Z0jwoPL66>YC|(PCrgG zb^CNTr*{)Qp6E_a|BPtbCZ>IyevoLIiqego{yxzYh_-Y3UZSasr^`5fC(+ck(+a1* zP4pz9i#h!*qNyvV8K-X|ns#I9W1oRBFG@5`ap^;xzMg36;_0V3y^d(=+UWsKf0JnH z(&_D-UP&}fh3RdazJh2vI!yO-x}In{u1t4xx`ya;i0$r|vp; zg~HfsReLL5eAo(|fkIU)cxxd#!2u}03`9*9t9=I&Zw?il3)!6gds|vs`i-l9wc1m+ zY(6ZIfwOnQO|h#h7t_l*AvS?sxbh>Yt8pIJAu8YR76vlUsXgwf5X9Dxv3O zj;d8yEnDY8(vz$lf;CU7^bIuI&$;dd$I!T(%--sQH?L3wdv{TH^JwocPv-CY)T0f3 z_(1&CPt{c2ZpiPVuF83d-*AQfP{{0cCu{fV8N=6ZSg$?^Z*Tdpenaq9bq?=dHF@q@s!yu23>`&HUaHR{&AtJ*w$IX=q?o1ZA}CDN_Uxvv_$WE4A0nYt zT@V=_?X}(1=Z%bEWHj_;Zl0$mXIaaSs>!opT7_8ofJb{LGpP3LEz}=}kfZwj#A_by z?Z;?*=!ZUqJ$MG0QRmXvLk=Oj4~>{!iVm+PFF~RE(BsPo^gn-+$?$0FtDxN9((m}j z?vscXMZBT>sH181D)rVQYtCmZS@1DBy|&3}Ra1{xFGq(}wYasU{J1A|zts+FTCdd( zuI1r^XCc@E(gjlgIZ9AdMxLq-tD4JNs9#Q=<^dbVxkGqK%dkDa0&&}ftc5bmy=ze- zydmoE>~!x8HZKZc#U7`kmlwkGFN!wdz|%hWZ%2$7$OT zh^UXkFR#BdWK*>&#PtEpEUMPYeTqfMC;i8ygz?#h?Awto1LpVz?*-qcY;9P-6A&$@ zYD4-r3{;;D=uYM5tGgEAw4*f`Uc?0ohwX(Ze$kM9BGW%PX~-bQ?5_R>_$`j%{QMf~ zd^1q4u85N66HHZ3;;s(s)kO9UsM=mjuLhSI%8wtO+t7Q|(mPBsOjW*#E?b__C!6H_ zkW;l+P^kVO3L+RaJ3`^1R$avOZ<_g|t*zIC-_Uzp_?X!0No}&)xy_7zH}ceE+=}MS z=x!oXl|Fbu8S)VzK(Q^&SYwznf@&b-p`l=yiwqC`pS6Io~ z5we!5%oD~t4C9vG0n<6hJaO(HKo+s&KqF-Vh<4S;B;@#^l;c7k@Xxt&%C z8OWc~PM2~UpHUdb*TD2U`ZeIB`!H{!-NGnNZvz7jWa+&Ka~1jr2~`j;Rks59+!ss& zdKvMO9&5>?i>Tp}(^c(N{gV?y`4UXPYN{#u&H0Pf%;ReH6NwM{4rotXde0}-dl1v1{Bcaz$YN>}`>LM#6EN*P8q@R9g@+jp zQqk<+At{XL`T8}4VX#Bwy5ixRa;Bs-~rZ5N`BF(N0)qccVOT8*|jm0J!mwv^}l`A9tUe8{ee{_CP$}-hFaH{3jT{n7R+U`BN1m zEIu95mfrQKM#_<+-qXD)-#)?8dktuJU@)`Ckr~{4SN_uqW_><@OvWs|KS7z@C+8#{ zZs@~EdIEJ!g>q;bCi!waE1x@j9v(=6=ejpdC95|=!bKvPJ;a$4pKaOUnrg3|vpK&y z@qA`b<@wcIs20h`wx-|x1YWab{<{J(2f{LKl>wZK}+AmctUkw zYP0l4v8u%&x{50to2$%`C4pXOM$cIHrTuj>VyKerCg z;Qb3_E&ywYWMbX*8(MdzDTkIUV(8ohRHzry z6i4cnw?W9A+%!o2;XD+9=d1qf4|yA4uCes~5>uF-`Y4k*+y|3eU{3cU+gQ!?0i$X1 zARyZPGFlC!pW^d=<-g9xN@#^KzdBHeK7T}Q1u&YH%Jd2tPQMHx^7m@;m$op*I?*P4 zy&fz_@*KG9PI|bXD_~j;`~j1vHu0E4J16~)urT8k3zoj8XtFQ@Rz$ssOf+#5Z=6R} zEl&SCZ_r5>!(w+ev-GXyGxnsXc(%t{Ol}tIfBpkG++;0o8O|@BL!tT(31EgSLB3T@ z(gxuLu#(04f1*l=>sy$6P^Uux##8KLZlhowq`IpZhCF;jAG#OxV_m3pG8YqZQOdRjp7>72L=94Tbt6B&RjN-Gb>bxEo7CDkXsx z?X<5$$nj9Vs;w^Bt&nQ6pb|1_PZf)o!T948-_%-bj-zK^uBv&aL83|xyrj2sqppLP zzxJuAsoWzd>*p$rbbU9kLI&2nkAcxpj%R=SAPl)M!J`6e5$4pi9xG3j0?(*vPrZ?UVo`j#h8EvuGtrK7c9&xi{MU>niR2IikJv+s_p;{&S4jA zZh>g;=|#sdN^Zg9lSWAsaz}SlmcCzMCLkM`>Oo8D6)YaZ%OzQ&oHB!v`#VSMbhR@V%J|94JZOP6m?G zj)P3r^bF^zfsDH6ojg7GKKQ9gQ^{cs>i~35qhn6s9A}|Emx@eIdxRTu7Vo5v*;A8q zbG?IqGVluwSai2ZF67fvA(;as1x!CehBeo@)SRju6etc=#4Ls&!9t){Hu zd>RmN;1&G`?;%iE)8jo=_fv57hrlqVsuzKQ`zmq&d4#*q;2wm#`sNYte*m-WaOTvm zwcPc1?IO!v1BH)cwLt4W?NvN2^rKv@>odKX5N)tQ`-pND zXe!hlJAHjBul}S;Vj2tSI}Ne9#L3w^oM*#Z;vr`~vUA5(3bgE) z(7WH#uxE2Fiam>)=lkDceLc5D6L>Ah!~~A#(hf3{syl|UqxT{G z4cLc!<-*WdA9}QA>miTUZq+@hd4aPPR6K4y=E1)Ggj+kVYHO`etH^x;rzll8S#fL6 z>CYS{;{{6~>|U_Xz30=s<$Z6)&%?Qcwio9`gE=1UU5|F$rDZZ_(aD3m`ba`olWVPb zwzOM|J;`Qki3gbCp@q2zW33FQ5LL#Z5FI@6BO8R^&@_au=R_txt^6gNRV91qV^Fo{ zFb<1OWD-aDp$eTP(Fu?4q`=@XV=o%dl;{mgDSyApO7SL(|U0qEWCyVWrcL7w+L!$|Y}D zX=@_HO6AKnh8K>8g;CW~dVk1D`S%sI=ur56p*^@%3B^q2OTlN<+gPc5^M#F8kahZ5 zS4(?j1B*c?9A~A?p_qSNdoXUi#l~lujaRO0^17~B;aKi=dR^{Sj(SgncloMie4hrl zSSh~m-~@Nn^roFNZ4_XUM4VTLsRSh+QSc3iLf>g5VoIb%i6uHaBT)ndt|)Wn#pWpC zV6ZvZOp?(=Siz=`zE{9Yd^8IsVGplO+nbHLhGcxr>J)3}Q?r?ED2?qwe=Mj3!?8pZ z?zH*g%t#Rm!bgRoMdEEiC5F#9lxF#YT-neTE09E|$oV6WRKMWPD6tbi)w3_fpne;^Qy#X<;-s2tUg`b3SgoZhOE^Yj@E!8yFR z(GE~c5%Y%O;!+rHO?03ox&Of%6L1+0wcxWKWuy}+u?-=ZWVIUtEv>w_Z2E$3!^1q< zWq5J>oHKmxLPPa2%{`GR)eC0n>ziQc*oi#Iu==4v@R5;Q-!fK7J;z{j74B9 z0%H*vi@=vB0`kSi*fQYD)8=C}9gDzN1jZsT7J;z{$Otsr7MGP@QjUw6(9puhh2_=N zmE~p3gp!W&{dJ9rOP|Oy9LyQNUom0gmn^cR)5DS9dnoe*3lu7|lpIplgz#xe*TsrE z9Iz>l_I8E;Cr0u;KEP++ z&19zH5cW;LiveeWM=9r~#+59mt9aZ$zA{l^GbfHO>_@KgEwq&o*r_1sDxza&{*81k z;C%4S-`|o<6D|e(4zf^RRD4HnLs3b6ky2kYe@j6se`}trsO+|J&Y~(;k-diwzmZ6f z{9N;5Cc}SI2EAomixkMhd`D54qo`_GQNOci{B7g5;9wkSD!-He-2EKsIq|7(JJXpA z&dNs;)rHF14nBRr#;!=^Zz;Iyj@+$zR~6ZB8z=ptEJ}F0!;>=I&S`m*d`U~lg9aiS z$}=X(zf34dI+R7nvglg{En_WzXNPY^c#^*p#z{I)_FOxMMwIYP@&tpXK0-;)vvX*i zS~7OKXa{`U!BdHV#yc@V0N@=3Pn}L5hcdQn1R~o*n8Pn?jZ{3<&JoG)nqtuOI*QUg zA`tYkASGEo-WErQo-gDPcTUT4@wSW8+a}vd>`Rm6|BcA5@ZD$IIqLxdcL+En;PV2$ zE#Pqh$DL#7oh{%60xl8oasjUvutmW20^TOz-2y%!;0^(Y1bkk=w*@>d;J6Y|zJRy97krJ8J}Y&#_+KSj74B90%H*vi@;a}z7!Go(ZzP7o^pM$%;~IA=HuT{ zEl?_K<+jDj;;fYQeW<)X#Yu~QeW-|OT-3H?*AadlW<3p{QrW{-b?Ds{nob0hDfiFl>SON z30=siy_}@wer`Vf0uiav03$Jy{tGr+1%zx%eYt<^68dugD9e}nvi{d*>C63S@l>M( zxql^nigUJpD|i$Wxqp`XZ~hBN@MSqbd6IredL0n6ez(|nb<-~>k+x+8T^T^QnWHlGKx|7!b3*JTI^=G$imlp;MA9UotlWA$=Kt`G30XLcd1DQOYY4 z5%5+(v%dU4g!2Cr(pi!@WtV>kGR^usFSB#;o#G&67G;`}Y(L5RE)Wut=NBXYuhDKu zWVc_o{x*o2^^b}D=P{w59q(-YA7<&(&rEr87$93doBj#JsP9St<^Es(KgmO){_?y> z>Px@=8@guy<^N64>y7d^8(`!v^(EY$r7zEaI-Q2fp%G03k$MvD1>0P{&*kHSK9?ci zC