Fix normalizzatore + test

This commit is contained in:
2019-09-10 20:54:06 +02:00
parent 3bc4d7a489
commit 371bd201ce
5 changed files with 72 additions and 44 deletions

View File

@@ -30,7 +30,7 @@ ARCHITECTURE behavior OF IEEE754AdderTest IS
signal RESULT : std_logic_vector(31 downto 0);
-- Clock period definitions
constant CLK_period : time := 100 ns; -- MESSA A CASO. VALUTARE IL PERIODO GIUSTO
constant CLK_period : time := 50 ns; -- MESSA A CASO. VALUTARE IL PERIODO GIUSTO
BEGIN
@@ -57,7 +57,7 @@ BEGIN
stim_proc: process
begin
RESET <= '1';
wait for 400 ns;
wait for 2*CLK_period;
RESET <= '0';
-- TODO: FINIRE TEST
@@ -68,41 +68,41 @@ BEGIN
X <= "00001000000000000000111000000000";
Y <= "00000010000001111000000000000000";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
X <= "01000000010110011001100110011010";
Y <= "01100110010001110000110110000001";
wait for CLK_period;
X <= "01111111100000000000000000000000";
Y <= "11111111100000000000000000000000";
wait for CLK_period;
X <= "01000000100000000000000000000000";
Y <= "01111111100000000000000000000000";
wait for CLK_period;
X <= "00000000100100000000000000000000";
Y <= "10000000011111111111111111111111";
wait for CLK_period;
X <= "11001100000111100111101111110100";
Y <= "11001111111110111111011100110110";
wait for CLK_period;
X <= "01111111011111111111111111111111";
Y <= "01111110011111111111111111111111";
wait for CLK_period;
X <= "11111111111111111111111111111111";
Y <= "00111111100000000000000000000000";
wait for CLK_period;
X <= "00110110100111000010111100011010";
Y <= "11111111111111111111000001111111";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
Y <= "10000000000000000000000000000000";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
X <= "01001100111010110111100110100011";
Y <= "11001100111010110111100110100011";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
X <= "01000010001010000000000000000000";
Y <= "01000001101110000000000000000000";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
wait for CLK_period;
X <= "00000000000000000000000000000000";
Y <= "00000000000000000000000000000000";
X <= "01101000111011011000111011010101";
Y <= "00011001001011011001100001111101";
wait;
end process;