From 12f2e36d7cabfdd1e18a30dde3332bf71372f066 Mon Sep 17 00:00:00 2001 From: Giulio Date: Thu, 29 Aug 2019 15:12:25 +0200 Subject: [PATCH] Completato modulo TwoComplement --- Comparator.vhd | 44 +- IEEE754Adder.xise | 43 +- NaNCheck.vhd | 41 +- SpecialCasesCheck.vhd | 27 +- Swap.vhd | 15 +- TwoComplement.vhd | 45 +- TwoComplementTest.vhd | 85 ++ TwoComplementTest_isim_beh.exe | Bin 0 -> 21792 bytes TwoComplementTest_isim_beh.wdb | Bin 0 -> 11776 bytes TypeCheck.vhd | 60 +- ZeroCheck.vhd | 50 +- equalCheck.vhd | 40 +- fuse.log | 20 +- fuseRelaunch.cmd | 2 +- isim.log | 12 +- .../ComparatorTest_isim_beh.exe | Bin 49847 -> 0 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 4458 -> 0 bytes .../isimkernel.log | 28 - .../ComparatorTest_isim_beh.exe.sim/netId.dat | Bin 60 -> 0 bytes .../tmp_save/_1 | Bin 1872 -> 0 bytes .../work/ComparatorTest_isim_beh.exe_main.c | 40 - .../work/a_0883098610_0495709306.c | 314 ----- .../work/a_0883098610_0495709306.didat | Bin 3968 -> 0 bytes .../work/a_0883098610_0495709306.lin64.o | Bin 5752 -> 0 bytes .../work/a_1038528572_2372691052.c | 157 --- .../work/a_1038528572_2372691052.didat | Bin 3676 -> 0 bytes .../work/a_1038528572_2372691052.lin64.o | Bin 3800 -> 0 bytes .../FullAdderTest_isim_beh.exe | Bin 49951 -> 0 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 4134 -> 0 bytes .../isimcrash.log | 0 .../isimkernel.log | 28 - isim/FullAdderTest_isim_beh.exe.sim/netId.dat | Bin 60 -> 0 bytes .../tmp_save/_1 | Bin 1322 -> 0 bytes .../work/FullAdderTest_isim_beh.exe_main.c | 40 - .../FullAdderTest_isim_beh.exe_main.lin64.o | Bin 2776 -> 0 bytes .../work/a_1130988942_2801528920.c | 151 --- .../work/a_1130988942_2801528920.didat | Bin 3292 -> 0 bytes .../work/a_1130988942_2801528920.lin64.o | Bin 3896 -> 0 bytes .../work/a_2258021406_2372691052.c | 427 ------ .../work/a_2258021406_2372691052.didat | Bin 4300 -> 0 bytes .../work/a_2258021406_2372691052.lin64.o | Bin 6520 -> 0 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 6047 -> 0 bytes .../NaNCheck_isim_beh.exe | Bin 49958 -> 0 bytes isim/NaNCheck_isim_beh.exe.sim/isimcrash.log | 0 isim/NaNCheck_isim_beh.exe.sim/isimkernel.log | 29 - isim/NaNCheck_isim_beh.exe.sim/netId.dat | Bin 92 -> 0 bytes isim/NaNCheck_isim_beh.exe.sim/tmp_save/_1 | Bin 4439 -> 0 bytes .../work/NaNCheck_isim_beh.exe_main.c | 40 - .../work/NaNCheck_isim_beh.exe_main.lin64.o | Bin 2768 -> 0 bytes .../work/a_0557987184_1272247069.c | 368 ------ .../work/a_0557987184_1272247069.didat | Bin 4624 -> 0 bytes .../work/a_0557987184_1272247069.lin64.o | Bin 5512 -> 0 bytes .../work/a_4078426953_2628201599.c | 221 ---- .../work/a_4078426953_2628201599.didat | Bin 5004 -> 0 bytes .../work/a_4078426953_2628201599.lin64.o | Bin 4336 -> 0 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 9797 -> 0 bytes .../SpecialCasesTest_isim_beh.exe | Bin 59696 -> 0 bytes .../isimcrash.log | 0 .../isimkernel.log | 29 - .../netId.dat | Bin 92 -> 0 bytes .../tmp_save/_1 | Bin 9422 -> 0 bytes .../work/SpecialCasesTest_isim_beh.exe_main.c | 44 - ...SpecialCasesTest_isim_beh.exe_main.lin64.o | Bin 3144 -> 0 bytes .../work/a_0557987184_1272247069.c | 368 ------ .../work/a_0557987184_1272247069.didat | Bin 4632 -> 0 bytes .../work/a_0557987184_1272247069.lin64.o | Bin 5512 -> 0 bytes .../work/a_1540508602_4151211736.c | 278 ---- .../work/a_1540508602_4151211736.didat | Bin 5160 -> 0 bytes .../work/a_1540508602_4151211736.lin64.o | Bin 4888 -> 0 bytes .../work/a_2347761600_1146481140.c | 180 --- .../work/a_2347761600_1146481140.didat | Bin 3488 -> 0 bytes .../work/a_2347761600_1146481140.lin64.o | Bin 4144 -> 0 bytes .../work/a_2912948712_3395701438.c | 31 - .../work/a_2912948712_3395701438.didat | Bin 3692 -> 0 bytes .../work/a_2912948712_3395701438.lin64.o | Bin 1880 -> 0 bytes .../work/a_3914402253_2628201599.c | 221 ---- .../work/a_3914402253_2628201599.didat | Bin 5036 -> 0 bytes .../work/a_3914402253_2628201599.lin64.o | Bin 4352 -> 0 bytes .../work/a_4189535622_2372691052.c | 1141 ----------------- .../work/a_4189535622_2372691052.didat | Bin 6500 -> 0 bytes .../work/a_4189535622_2372691052.lin64.o | Bin 14824 -> 0 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 4259 -> 0 bytes isim/SwapTest_isim_beh.exe.sim/isimcrash.log | 0 isim/SwapTest_isim_beh.exe.sim/isimkernel.log | 28 - isim/SwapTest_isim_beh.exe.sim/netId.dat | Bin 92 -> 0 bytes isim/SwapTest_isim_beh.exe.sim/tmp_save/_1 | Bin 1774 -> 0 bytes .../work/SwapTest_isim_beh.exe_main.lin64.o | Bin 2768 -> 0 bytes .../work/a_0464846403_2372691052.c | 157 --- .../work/a_0464846403_2372691052.didat | Bin 4132 -> 0 bytes .../work/a_0464846403_2372691052.lin64.o | Bin 3784 -> 0 bytes .../work/a_2579272516_1004118533.c | 207 --- .../work/a_2579272516_1004118533.didat | Bin 3236 -> 0 bytes .../work/a_2579272516_1004118533.lin64.o | Bin 4320 -> 0 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 4427 bytes .../TwoComplementTest_isim_beh.exe} | Bin 49581 -> 50409 bytes .../isimcrash.log | 0 .../isimkernel.log | 28 + .../netId.dat | Bin 0 -> 52 bytes .../tmp_save/_1 | Bin 0 -> 1835 bytes .../TwoComplementTest_isim_beh.exe_main.c} | 6 +- ...oComplementTest_isim_beh.exe_main.lin64.o} | Bin 2776 -> 2776 bytes .../work/a_2858062612_2372691052.c | 364 ++++++ .../work/a_2858062612_2372691052.didat | Bin 0 -> 3812 bytes .../work/a_2858062612_2372691052.lin64.o | Bin 0 -> 5768 bytes .../work/a_3935631676_2318913362.c | 304 +++++ .../work/a_3935631676_2318913362.didat | Bin 0 -> 3732 bytes .../work/a_3935631676_2318913362.lin64.o | Bin 0 -> 4920 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 3850 -> 0 bytes .../TypeCheck_isim_beh.exe | Bin 49568 -> 0 bytes isim/TypeCheck_isim_beh.exe.sim/isimcrash.log | 0 .../TypeCheck_isim_beh.exe.sim/isimkernel.log | 28 - isim/TypeCheck_isim_beh.exe.sim/netId.dat | Bin 84 -> 0 bytes isim/TypeCheck_isim_beh.exe.sim/tmp_save/_1 | Bin 2179 -> 0 bytes .../work/TypeCheck_isim_beh.exe_main.c | 39 - .../work/TypeCheck_isim_beh.exe_main.lin64.o | Bin 2672 -> 0 bytes .../work/a_4228824053_1272247069.c | 368 ------ .../work/a_4228824053_1272247069.didat | Bin 4656 -> 0 bytes .../work/a_4228824053_1272247069.lin64.o | Bin 5512 -> 0 bytes isim/isim_usage_statistics.html | 10 +- .../ISimEngine-DesignHierarchy.dbg | Bin 3803 -> 0 bytes isim/pr_isim_beh.exe.sim/isimcrash.log | 0 isim/pr_isim_beh.exe.sim/isimkernel.log | 28 - isim/pr_isim_beh.exe.sim/netId.dat | Bin 116 -> 0 bytes isim/pr_isim_beh.exe.sim/pr_isim_beh.exe | Bin 49574 -> 0 bytes isim/pr_isim_beh.exe.sim/tmp_save/_1 | Bin 1938 -> 0 bytes .../work/a_2734820196_0181651160.c | 325 ----- .../work/a_2734820196_0181651160.didat | Bin 4348 -> 0 bytes .../work/a_2734820196_0181651160.lin64.o | Bin 5680 -> 0 bytes .../work/pr_isim_beh.exe_main.c | 39 - .../work/pr_isim_beh.exe_main.lin64.o | Bin 2664 -> 0 bytes .../ieee/p_2592010699.didat | Bin 5884 -> 5884 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 4821 -> 0 bytes isim/tb_isim_beh.exe.sim/isimcrash.log | 0 isim/tb_isim_beh.exe.sim/isimkernel.log | 28 - isim/tb_isim_beh.exe.sim/netId.dat | Bin 92 -> 0 bytes isim/tb_isim_beh.exe.sim/tb_isim_beh.exe | Bin 50173 -> 0 bytes isim/tb_isim_beh.exe.sim/tmp_save/_1 | Bin 2420 -> 0 bytes .../work/a_3230118638_0181651160.c | 374 ------ .../work/a_3230118638_0181651160.didat | Bin 4512 -> 0 bytes .../work/a_3230118638_0181651160.lin64.o | Bin 6312 -> 0 bytes .../work/a_3671711236_2372691052.c | 157 --- .../work/a_3671711236_2372691052.didat | Bin 4052 -> 0 bytes .../work/a_3671711236_2372691052.lin64.o | Bin 3784 -> 0 bytes .../work/tb_isim_beh.exe_main.c | 40 - .../work/tb_isim_beh.exe_main.lin64.o | Bin 2760 -> 0 bytes isim/temp/comparator.vdb | Bin 4233 -> 0 bytes isim/temp/comparatortest.vdb | Bin 3910 -> 0 bytes isim/temp/equalcheck.vdb | Bin 3197 -> 0 bytes isim/temp/fulladder.vdb | Bin 2152 -> 0 bytes isim/temp/fulladdertest.vdb | Bin 6168 -> 0 bytes isim/temp/nancheck.vdb | Bin 4237 -> 0 bytes isim/temp/pr.vdb | Bin 4733 -> 0 bytes isim/temp/specialcasescheck.vdb | Bin 3428 -> 0 bytes isim/temp/specialcasestest.vdb | Bin 3965 -> 0 bytes isim/temp/swap.vdb | Bin 3446 -> 0 bytes isim/temp/swaptest.vdb | Bin 4833 -> 0 bytes isim/temp/tb.vdb | Bin 4802 -> 0 bytes isim/temp/twocomplement.vdb | Bin 0 -> 4618 bytes isim/temp/twocomplementtest.vdb | Bin 0 -> 5025 bytes isim/temp/typecheck.vdb | Bin 4769 -> 0 bytes isim/temp/zerocheck.vdb | Bin 4605 -> 0 bytes isim/work/fulladder.vdb | Bin 2147 -> 0 bytes isim/work/fulladdertest.vdb | Bin 6163 -> 0 bytes isim/work/twocomplement.vdb | Bin 0 -> 4613 bytes isim/work/twocomplementtest.vdb | Bin 0 -> 5020 bytes xilinxsim.ini | 2 +- 166 files changed, 1038 insertions(+), 6113 deletions(-) create mode 100644 TwoComplementTest.vhd create mode 100644 TwoComplementTest_isim_beh.exe create mode 100644 TwoComplementTest_isim_beh.wdb delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/ComparatorTest_isim_beh.exe delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/isimkernel.log delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/netId.dat delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/ComparatorTest_isim_beh.exe_main.c delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.c delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.didat delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.lin64.o delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.c delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.didat delete mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.lin64.o delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/FullAdderTest_isim_beh.exe delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/isimcrash.log delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/isimkernel.log delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/netId.dat delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.c delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.lin64.o delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.c delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.didat delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.lin64.o delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.c delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.didat delete mode 100644 isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.lin64.o delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100755 isim/NaNCheck_isim_beh.exe.sim/NaNCheck_isim_beh.exe delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/isimcrash.log delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/isimkernel.log delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/netId.dat delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/work/NaNCheck_isim_beh.exe_main.c delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/work/NaNCheck_isim_beh.exe_main.lin64.o delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.c delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.didat delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.lin64.o delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.c delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.didat delete mode 100644 isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.lin64.o delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100755 isim/SpecialCasesTest_isim_beh.exe.sim/SpecialCasesTest_isim_beh.exe delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/isimcrash.log delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/isimkernel.log delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/netId.dat delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/SpecialCasesTest_isim_beh.exe_main.c delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/SpecialCasesTest_isim_beh.exe_main.lin64.o delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_0557987184_1272247069.c delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_0557987184_1272247069.didat delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_0557987184_1272247069.lin64.o delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_1540508602_4151211736.c delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_1540508602_4151211736.didat delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_1540508602_4151211736.lin64.o delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.c delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.didat delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.lin64.o delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.c delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.didat delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.lin64.o delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_3914402253_2628201599.c delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_3914402253_2628201599.didat delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_3914402253_2628201599.lin64.o delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.c delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.didat delete mode 100644 isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.lin64.o delete mode 100644 isim/SwapTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100644 isim/SwapTest_isim_beh.exe.sim/isimcrash.log delete mode 100644 isim/SwapTest_isim_beh.exe.sim/isimkernel.log delete mode 100644 isim/SwapTest_isim_beh.exe.sim/netId.dat delete mode 100644 isim/SwapTest_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 isim/SwapTest_isim_beh.exe.sim/work/SwapTest_isim_beh.exe_main.lin64.o delete mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.c delete mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.didat delete mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.lin64.o delete mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.c delete mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.didat delete mode 100644 isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.lin64.o create mode 100644 isim/TwoComplementTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg rename isim/{SwapTest_isim_beh.exe.sim/SwapTest_isim_beh.exe => TwoComplementTest_isim_beh.exe.sim/TwoComplementTest_isim_beh.exe} (52%) rename isim/{ComparatorTest_isim_beh.exe.sim => TwoComplementTest_isim_beh.exe.sim}/isimcrash.log (100%) create mode 100644 isim/TwoComplementTest_isim_beh.exe.sim/isimkernel.log create mode 100644 isim/TwoComplementTest_isim_beh.exe.sim/netId.dat create mode 100644 isim/TwoComplementTest_isim_beh.exe.sim/tmp_save/_1 rename isim/{SwapTest_isim_beh.exe.sim/work/SwapTest_isim_beh.exe_main.c => TwoComplementTest_isim_beh.exe.sim/work/TwoComplementTest_isim_beh.exe_main.c} (90%) rename isim/{ComparatorTest_isim_beh.exe.sim/work/ComparatorTest_isim_beh.exe_main.lin64.o => TwoComplementTest_isim_beh.exe.sim/work/TwoComplementTest_isim_beh.exe_main.lin64.o} (63%) create mode 100644 isim/TwoComplementTest_isim_beh.exe.sim/work/a_2858062612_2372691052.c create mode 100644 isim/TwoComplementTest_isim_beh.exe.sim/work/a_2858062612_2372691052.didat create mode 100644 isim/TwoComplementTest_isim_beh.exe.sim/work/a_2858062612_2372691052.lin64.o create mode 100644 isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.c create mode 100644 isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.didat create mode 100644 isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.lin64.o delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/TypeCheck_isim_beh.exe delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/isimcrash.log delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/isimkernel.log delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/netId.dat delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.c delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.lin64.o delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.c delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.didat delete mode 100644 isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.lin64.o delete mode 100644 isim/pr_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100644 isim/pr_isim_beh.exe.sim/isimcrash.log delete mode 100644 isim/pr_isim_beh.exe.sim/isimkernel.log delete mode 100644 isim/pr_isim_beh.exe.sim/netId.dat delete mode 100644 isim/pr_isim_beh.exe.sim/pr_isim_beh.exe delete mode 100644 isim/pr_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.c delete mode 100644 isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.didat delete mode 100644 isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.lin64.o delete mode 100644 isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.c delete mode 100644 isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.lin64.o delete mode 100644 isim/tb_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100644 isim/tb_isim_beh.exe.sim/isimcrash.log delete mode 100644 isim/tb_isim_beh.exe.sim/isimkernel.log delete mode 100644 isim/tb_isim_beh.exe.sim/netId.dat delete mode 100644 isim/tb_isim_beh.exe.sim/tb_isim_beh.exe delete mode 100644 isim/tb_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.c delete mode 100644 isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.didat delete mode 100644 isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.lin64.o delete mode 100644 isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.c delete mode 100644 isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.didat delete mode 100644 isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.lin64.o delete mode 100644 isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.c delete mode 100644 isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.lin64.o delete mode 100644 isim/temp/comparator.vdb delete mode 100644 isim/temp/comparatortest.vdb delete mode 100644 isim/temp/equalcheck.vdb delete mode 100644 isim/temp/fulladder.vdb delete mode 100644 isim/temp/fulladdertest.vdb delete mode 100644 isim/temp/nancheck.vdb delete mode 100644 isim/temp/pr.vdb delete mode 100644 isim/temp/specialcasescheck.vdb delete mode 100644 isim/temp/specialcasestest.vdb delete mode 100644 isim/temp/swap.vdb delete mode 100644 isim/temp/swaptest.vdb delete mode 100644 isim/temp/tb.vdb create mode 100644 isim/temp/twocomplement.vdb create mode 100644 isim/temp/twocomplementtest.vdb delete mode 100644 isim/temp/typecheck.vdb delete mode 100644 isim/temp/zerocheck.vdb delete mode 100644 isim/work/fulladder.vdb delete mode 100644 isim/work/fulladdertest.vdb create mode 100644 isim/work/twocomplement.vdb create mode 100644 isim/work/twocomplementtest.vdb diff --git a/Comparator.vhd b/Comparator.vhd index 37e3688..338fab0 100644 --- a/Comparator.vhd +++ b/Comparator.vhd @@ -3,31 +3,43 @@ use IEEE.STD_LOGIC_1164.ALL; entity Comparator is - generic( BITCOUNT: integer := 8 ); + + generic( BITCOUNT : integer := 8 ); + port( - xT, yT: in std_logic_vector((BITCOUNT-1) downto 0); - needSwap: out std_logic + X_MANT, Y_MANT : in std_logic_vector((BITCOUNT-1) downto 0); + NEED_SWAP : out std_logic ); + end Comparator; architecture ComparatorArch of Comparator is - signal xGTy: std_logic_vector((BITCOUNT-1) downto 0); - signal yGTx: std_logic_vector((BITCOUNT-1) downto 0); -begin - xGTy <= xT and (not yT); - yGTx <= (not xT) and yT; + + signal X_GT_Y : std_logic_vector((BITCOUNT-1) downto 0); + signal Y_GT_X : std_logic_vector((BITCOUNT-1) downto 0); + +begin + + X_GT_Y <= X_MANT and (not Y_MANT); + Y_GT_X <= (not X_MANT) and Y_MANT; + + NEED_SWAP_COMPUTE: process (X_GT_Y, Y_GT_X) + + variable SWAP : std_logic; + variable SWAP_CARRY : std_logic; - needSwap_compute: process (xGTy, yGTx) - variable SW: std_logic; - variable K: std_logic; begin - SW := '0'; - K := '1'; + + SWAP := '0'; + SWAP_CARRY := '1'; + for i in (BITCOUNT-1) downto 0 loop - SW := SW or ((not(xGTy(i)) and yGTx(i)) and K); - K := K and (not(xGTy(i) and not(yGTx(i)))); + SWAP := SWAP or ((not(X_GT_Y(i)) and Y_GT_X(i)) and SWAP_CARRY); + SWAP_CARRY := SWAP_CARRY and (not(X_GT_Y(i) and not(Y_GT_X(i)))); end loop; - needSwap <= SW; + + NEED_SWAP <= SWAP; + end process; end ComparatorArch; diff --git a/IEEE754Adder.xise b/IEEE754Adder.xise index 6cfbe40..e814ae0 100644 --- a/IEEE754Adder.xise +++ b/IEEE754Adder.xise @@ -66,23 +66,25 @@ - - + + - - - - - + + + + + + + @@ -203,9 +205,9 @@ - - - + + + @@ -274,7 +276,7 @@ - + @@ -289,10 +291,10 @@ - - - - + + + + @@ -301,6 +303,7 @@ + @@ -316,7 +319,7 @@ - + @@ -340,8 +343,8 @@ - - + + @@ -360,7 +363,7 @@ - + @@ -415,7 +418,7 @@ - + diff --git a/NaNCheck.vhd b/NaNCheck.vhd index 1ba7ed4..0fb9aa3 100644 --- a/NaNCheck.vhd +++ b/NaNCheck.vhd @@ -2,37 +2,44 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity NaNCheck is + port( - X, Y: in std_logic_vector(31 downto 0); - isNan: out std_logic + X, Y : in std_logic_vector(31 downto 0); + IS_NAN : out std_logic ); + end NaNCheck; architecture NaNCheckArch of NaNCheck is + component TypeCheck is + port( - N: in std_logic_vector(31 downto 0); - NaN, INF: out std_logic + N : in std_logic_vector(31 downto 0); + NAN, INF : out std_logic ); + end component; - signal xNan: std_logic; - signal xInf: std_logic; - signal xSign: std_logic; - signal yNan: std_logic; - signal yInf: std_logic; - signal ySign: std_logic; + signal X_NAN : std_logic; + signal X_INF : std_logic; + signal X_SIGN : std_logic; + signal Y_NAN : std_logic; + signal Y_INF : std_logic; + signal Y_SIGN : std_logic; begin - xCheck: TypeCheck - port map (N => X, NaN => xNan, INF => xInf); - yCheck: TypeCheck - port map (N => Y, NaN => yNan, INF => yInf); - xSign <= X(31); - ySign <= Y(31); + xCheck: TypeCheck + port map (N => X, NAN => X_NAN, INF => X_INF); + + yCheck: TypeCheck + port map (N => Y, NAN => Y_NAN, INF => Y_INF); + + X_SIGN <= X(31); + Y_SIGN <= Y(31); - isNan <= xNan or yNan or (xInf and xSign and yInf and (not ySign)) or (xInf and (not xSign) and yInf and ySign); + IS_NAN <= X_NAN or Y_NAN or (X_INF and X_SIGN and Y_INF and (not Y_SIGN)) or (X_INF and (not X_SIGN) and Y_INF and Y_SIGN); end NaNCheckArch; diff --git a/SpecialCasesCheck.vhd b/SpecialCasesCheck.vhd index dfaf432..81918e6 100644 --- a/SpecialCasesCheck.vhd +++ b/SpecialCasesCheck.vhd @@ -2,32 +2,43 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity SpecialCasesCheck is + port( - X, Y: in std_logic_vector(31 downto 0); - isNaN, isZero: out std_logic + X, Y : in std_logic_vector(31 downto 0); + IS_NAN, IS_ZERO : out std_logic ); + end SpecialCasesCheck; architecture SpecialCasesCheckArch of SpecialCasesCheck is + component NaNCheck is + port( - X, Y: in std_logic_vector(31 downto 0); - isNaN: out std_logic + X, Y : in std_logic_vector(31 downto 0); + IS_NAN : out std_logic ); + end component; component ZeroCheck is + port( - X, Y: in std_logic_vector(31 downto 0); - isZero: out std_logic + X, Y : in std_logic_vector(31 downto 0); + IS_ZERO : out std_logic ); + end component; + begin + NC: NaNCheck - port map (X => X, Y => Y, isNaN => isNaN); + port map (X => X, Y => Y, IS_NAN => IS_NAN); + ZC: ZeroCheck - port map (X => X, Y => Y, isZero => isZero); + port map (X => X, Y => Y, IS_ZERO => IS_ZERO); + end SpecialCasesCheckArch; diff --git a/Swap.vhd b/Swap.vhd index 2320648..35bc370 100644 --- a/Swap.vhd +++ b/Swap.vhd @@ -2,25 +2,32 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Swap is - generic(BITCOUNT : integer := 8); + + generic( + BITCOUNT : integer := 8 + ); + port( X_IN, Y_IN : in std_logic_vector((BITCOUNT-1) downto 0); SW : in std_logic; X_OUT, Y_OUT : out std_logic_vector((BITCOUNT-1) downto 0) ); + end Swap; architecture SwapArch of Swap is begin - SWAP_PRO: process(X_IN, Y_IN, SW) + + SWAP_PROCESS: process(X_IN, Y_IN, SW) + begin + for i in (BITCOUNT-1) downto 0 loop - X_OUT(i) <= (not(SW) and X_IN(i)) or (SW and Y_IN(i)); Y_OUT(i) <= (not(SW) and Y_IN(i)) or (SW and X_IN(i)); - end loop; + end process; end SwapArch; diff --git a/TwoComplement.vhd b/TwoComplement.vhd index 543bc1c..4877bd8 100644 --- a/TwoComplement.vhd +++ b/TwoComplement.vhd @@ -2,30 +2,53 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity TwoComplement is - generic(BITCOUNT : integer := 8); + + generic( + BITCOUNT : integer := 8 + ); + port( DIFF_EXP_C2 : in std_logic_vector((BITCOUNT-1) downto 0); - DIFF_EXP_ABS : out std_logic_vector((BITCOUNT-2) downto 0); + DIFF_EXP : out std_logic_vector((BITCOUNT-1) downto 0) ); + end TwoComplement; architecture TwoComplementArch of TwoComplement is - signal S : std_logic; - signal M : std_logic_vector((BITCOUNT-2) downto 0); -begin - S <= DIFF_EXP_C2(BITCOUNT-1); - M <= DIFF_EXP_C2((BITCOUNT-2) downto 0); + + signal SIGN : std_logic; + signal DIFF_EXP_ABS : std_logic_vector((BITCOUNT-2) downto 0); + +begin + + SIGN <= DIFF_EXP_C2(BITCOUNT-1); + + C2_PROCESS : process(DIFF_EXP_C2, SIGN) - C2 : process(DIFF_EXP_C2) begin + for i in (BITCOUNT-2) downto 0 loop - M(i) <= S xor M(i); + DIFF_EXP_ABS(i) <= SIGN xor DIFF_EXP_C2(i); end loop; + end process; - --sommare 1 a M se S = '1' + SUM : process(DIFF_EXP_ABS, SIGN) - DIFF_EXP_ABS <= M; + variable CARRY : std_logic; + + begin + + CARRY := SIGN; + + for i in 0 to (BITCOUNT-2) loop + DIFF_EXP(i) <= DIFF_EXP_ABS(i) xor CARRY; + CARRY := DIFF_EXP_ABS(i) and CARRY; + end loop; + + DIFF_EXP(BITCOUNT-1) <= CARRY; + + end process; end TwoComplementArch; diff --git a/TwoComplementTest.vhd b/TwoComplementTest.vhd new file mode 100644 index 0000000..5192b81 --- /dev/null +++ b/TwoComplementTest.vhd @@ -0,0 +1,85 @@ +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--USE ieee.numeric_std.ALL; + +ENTITY TwoComplementTest IS +END TwoComplementTest; + +ARCHITECTURE behavior OF TwoComplementTest IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT TwoComplement + PORT( + DIFF_EXP_C2 : IN std_logic_vector(7 downto 0); + DIFF_EXP : OUT std_logic_vector(7 downto 0) + ); + END COMPONENT; + + + --Inputs + signal DIFF_EXP_C2 : std_logic_vector(7 downto 0) := "00000000"; + + --Outputs + signal DIFF_EXP : std_logic_vector(7 downto 0); + signal clock : std_logic; + -- No clocks detected in port list. Replace clock below with + -- appropriate port name + + constant clock_period : time := 10 ns; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: TwoComplement PORT MAP ( + DIFF_EXP_C2 => DIFF_EXP_C2, + DIFF_EXP => DIFF_EXP + ); + + -- Clock process definitions + clock_process :process + begin + clock <= '0'; + wait for clock_period/2; + clock <= '1'; + wait for clock_period/2; + end process; + + + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 100 ns. + wait for 100 ns; + + wait for clock_period*10; + + -- insert stimulus here + + wait; + end process; + + test_process :process + begin + DIFF_EXP_C2 <= "01001110"; + wait for clock_period; + DIFF_EXP_C2 <= "11111111"; + wait for clock_period; + DIFF_EXP_C2 <= "10000000"; + wait for clock_period; + DIFF_EXP_C2 <= "01111111"; + wait for clock_period; + DIFF_EXP_C2 <= "01100101"; + wait for clock_period; + DIFF_EXP_C2 <= "10011101"; + wait for clock_period; + DIFF_EXP_C2 <= "11100010"; + wait for clock_period; + DIFF_EXP_C2 <= "10010011"; + wait for clock_period; + end process; + +END; diff --git a/TwoComplementTest_isim_beh.exe b/TwoComplementTest_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..11ae4f70553f0c5d3994a18a93c772b43d96d96f GIT binary patch literal 21792 zcmeHPe|%KMwV(WgltMNjXatliE^QFAWFcUJ3GT8RxN8@T5G?x8-DR^$R+HVhKOk5> z8k$78T^ITI{8(S#r?0&J(7wL*mG)T#YB3O!6zvbCYHj_6zwe4DR?9<*?)#p(Gs$Iz zzJ4D5XC|L}&zv*ooH=vm%-or|cXLO*cWHiJ9+N4bEoOu%We!d2MR14&3 z5?)SnWb1Pdjsp65W*C0apsSN)O$sNFc_dft(hqqfmCU9@j{z5^KH z4gyT!_Yuf_0b0Wwi6+W#y2@bKbd`f=B&;>aOhPGlE#%0~e>6!u=eC8{Ev%Z`)>P3J zjwCxPI;$5}EUdD{qqce6{!%EUCN5jCnyZ%n_=2ct7T^+G3a$ybWO9hi<$%O98`qV% zzKn~K#Q^LIz-nBTxW?gHgv*JG+BXjux#2uqlqTV#_RhpL7uO72lxlEYjB7C=NH$z{ zp8Lmcr^nOjGP0eLIvJTM0vRhH`G^wvhJ00qYa%X6({WvZ>movsq~E6^Z^bp9h)6UZ zD9yq3C7v_l?n2}*#dSU|3egH&m*AR@YXPn*TqU?_vu>uSI zZvSa)bI_29&^%VeAN38T0??@+Wcseid=t=2m;GDE^^`H?dcVPW(g61g`iEYFF5~zK z6r1%-6XWtZUhjC;aG8TEAYW5@4)#xi7v+3qP#M#?{tH-_XcthPsvtO#dgxleK@c56 z{h%0>)9&QQGtvJObhDjziwc4{bSe8`4t<(v@VzZ!@!O!WkUycj@z8wB>@IS>#zK9b! zmi9v0T<^C;y>mE!89OHQ%ki*L(3Ryzy?wwb^$YzD;Rk8YA(WZ*|BZ7qb|8nI5%fdQ zQ+kFte_84m_S5`BXpAgB@nyCrC=6XK_z&mU{~gfJXkZINd09F9#6!A9UEDwGn~LJ6kN}mqaC3L13eaOjj@hoB2GwCvV%Y(dJAiA2^q9D z@U@59ZkhVl;9ydf4&guovQM-t7jy%Q}@ z0Fp_<3+;h03^2qx!)?A*$#wCKa7g=#Kzj%+Te08@-!kttOPpSxZ-vih^R0_U6K&Bz zQz&N2+JYS&zDkjN&Z?!ASIk>F-(IsJ8oR|Ej)j7WXl&z>WOH*UR)3C47X)H0!KKh* zv?3T!`kKR$@HuL=S0>}3#&CNmnoOLlh4va46liM*BwCk7W1e`py)oEU&#sQHi~ClE z+mmepc*ED|@-Bz(eQR8+8UrooYX1E7;n0Sq;kJ+~nv9?Yyep&4i4B2R=sHAeI2t*d z0p7sIQ0%kl?RgZ;WCJNXS0~oU2F0Pta}A=k3C=<%HKFI50*QbTzr3NYXvfA_xTO`L zeNOR8p|u{Nb(Wr5mE%uS1R?;>wQ{??E!r~Ty|dXMhi5||cuSy#yZWs5N?xOLee2?J z;k0velYL$y*tRZ^2)2^B=i1Q=B2hH_T(#J%S_ARb@lfoVjs#+zf+iGc;?eQh3^4nK zrgbB|b8Zf-3CEWu!^@*hk5WE%jYbLG4Gx3b;E^;c zk=L1YNgZa{LLnpTB01WN(_Xg{*B^d*3sz8ttO@H4q9tbEi*fjof1sUh47_ z;B?xT%T(s_^DOD1J)ca9%g?h!pU!i}t=#438KPgxbH=UG<>wiqm-C!)t9JQ$hUjy7 z&bT>Tex4yZ`Bf&><>y(Vo6j;DO!O-_hjCkDqSJYZOn#T2XGxFTlMtcRaGy z7MGuAshhCX8BW_=ex4_~SekPD0hgacqKmHO_;#0{L!z0_Cw^$6izO)M+hL;1n4yAQ zCb~RRA$p&QejX7-`nAi?b5!qSo-=Mwy8Jvtba{S5gy&p-0^IhgJZIbvyZk&u{B*V? zlkW2KEYaop6A_NN`~{QOze+fCuuLDv|4cZA zYGwz=4-rlwn%U0r#|g(=#WLGCzMpU!4w){F?;(6F;T;_RIpMTx%J@0{Bf>Fvu}lNU zzf1Ty!W|s{7U4AYWGXp+FX1%hWE75ngYXH2mva2;gwv47Fpl3wI1Po&u}?sl6(gL6 zK;|&VZzh~VKl3EV*AYI6@IH>;KsXJ7%npvPB%DG&vz_Bt6HXzY*~alDgwt_irid~IMN!4CeyI()n(C8WJqZ2rFXdfL=s6#`vpVa>d#t*k` zqdrzsML)YtVQOz*B0u{i@8WId)K%xHQW#sUYHuV;k60noSFCD9Z_Ggu9D?u*$f&7O zwf9i+^}(Wxz?*llzqz@2n{jPht#;QfD~ASBaQ-fs$?F>b7<2lWN9#Z6%)WN8f22mT zDf|0GF}K7rgKdVXcp4phj1HK9>rZ@~&8n#>)5&NppR+YvX1EzM?t6*-F$%vU4^w

a6HrzL;S1r9MUCK5(+esQC~E3TeHKaf_Ib1e zmYx*(EL|5xWvaGs4~61hGEzTGOzFB13cT7Ydno3Of*};t_hxUOrKYA^?MKzrc~Gr_ z&EDtL-pUTB-TlS-elR&}-b=pX)!uxJ#)p3R6!gI|@QfIjxfy(LQ9n8{vls!drskv4 z1BiHgpZ@XRvRUqJeHDbaHE(l%bDpw~88t!nyF z>rw=)swJ#t_T%34_pJ_S(|W89P%V!XJq^ZYpl+c0FHwVjAr)qyxwaHff zA)*x&T2HsG)~at61?pq;9;bCfFskl_UA{m^*rsaL@auz^SyZiq+Z2zIP5OV35XNUG zitj?PbeQv3JPN)+#oCa5FCcnO)duyi8d-e?peJ2esP3MN(~g!(T6$~1W!7HJwO3h5+dHH!y`VrSZ#R@%dWuLhH83l>seoHiH#mW;_+$_7 zy2rpxN;UwZpT??tM*0cTrRIKGC3wJpT0dRMb$mi@7`q6n-_oxKCDV&}6a5xJb$TlZ z=pakaWtgiFAH-Bev~=AHlyh4!3FwtXOL?tjd*@Qer7l#pm-WA$5W@2@0jufOJhkRU zOV3~Nz*bWWuCppon6V#HXaC8Ve=R!;r*0{nr)Kx7HIFCX?>(eFY3aFyL?3`p2MfnA zT_cU@P3(($_J5Gm-lZ{Jju0MVG)P6a|1)u6M3?K=Qx1b2Ebk66+c1k!dJE@Epfrs^ zsEEm5uL2okrjDWjMRl9dP|R1$sYXHCZIBibY4ZV^qjpiRj7)u)my0%CiWa7({DcFz z#+_2sRE;P2f-`$S-S=97S};{FLtWjN*zim#fQSdYZcVXleJ`J}A-A=bw5ma5)KsIj z494hKew^A(Yanl`a6f-|SbA>NPRCx(&K1jI-Ez-F!9^*tbFFk#dshEpXu5(nY7*t z4mYu6_Yq}gVuod>d$OZ;=9a>matCSQRG=${EQ70lY)2y|D`;3epSlyZ7E z-Flq*e!`DXhK_P;m!y~HnQiTD#F#~UEj7Qumzj|1I<|gRb zyOyCJF_3=#SKfn`-be6+>blZq>4{-gi$OGz3%tmKZ*Yt)a|q?AeZ;NWZ`1YgyC*$) z>^95Je7GySzZU&xm^g{VKW$>aqR>o?p8S*}TFH{sgntKz;Rr30Sw{0kswhpg)Resd zUTr8<<_*4vwamWP3%mt0^-4o7j6#oAXw@#Ha82Q=aa*mHdoHBqNNU2>hKjENxKo#S zQSH%^(f3yzGFp<{isc6?`iCG+p-zqMEO+mZ#hU zOsumC%w!44ns%72@=si;FL~6HK1erU8nFPi9b4tM%V~!21Amjiu+;n8Nh* zhuQ3rUZ}hq>U1B9jnzyqax_i82#9{aidF-e-|%^VK>*4!D~$QoiAwbHVX+m+(X>>l zS3z;+1u&7l*O9%ng)!ENHeu_{AURVPz+6wt%k5lDb_V}~$x|DD%&A?Fc}r-RdYT4H z?{8?bFdSAwQA8>lxrz@irlyu=e!@F+!enUds$rJiwS2~&VCA0hTCwooWG&V|{tFr0 zXvKP?uyiK5s-NUBLzbc3s-|dz&$tLdWeae95R z{wVQj^`$W5ql*-_2TMY#C5aX7l&^rv`Ea4CtuEW6kZ7uC3R&M>%@UPRzMuS?UTe*B zb|1)BHSZK~RI9<~^%kzw{UYYC18RCQw+O;JPyxyMZ(oH1ta*P5LcJZ&{iPP)yQmHhw z_H2gkeVKp-1bp+}T3$mrj(O+5?3YstoXysy+3=gO=W_ zd2kjLp&hDLmbr@*q^8_I4H3Smd#FGSX4QRf73iV&Ku=Ggx8qpX^6)eQa~!9*iuFsW z%G8v#kW@8S=`IS)?nQaGFLClu1Ac`8i*TEeKshZHQh88P#PoMavF5&r0$L&2?tx-= zjdI7+(p&ouV+~O^g^Gp>X+S{zOZpGqg`=*c$9uZ&r=aQ&gJ4Wme?|^e`Y=NOw_)l5 zgZd)O)wc{&{|hnq-m)dH>iw3qR;(2sJl&QJ6v%4h@i+J{t< zZnNg=Pl4n?U)*&P&w(Xa=*@9!uX?hBThO6Lo<)0pJrzb{fr0~qA^m%#fM%9KcWIVo z(r`3&GJQ8iKreKK$Ugl`kkSV-S%u%(ES*FRKX!>g7-S0Re? zJ=&Pe$9x?-r3uA+C4dSoJID1LwAAn0l8G8{xod_x#2 zS?z{ka|@4_O=k%k?>@;T;mE{%8%X$3ThTY9{}{jk4nSB2*pP)DhUk18b8AEYdW0 zT{uFg3ZrS%1EU@o^}whHMm;dVZ)YjCx?y1EU_09%!)5 ztF$kytg+8$rfhgl!yJ1}%>sKRGi6D}_%wWxiAp~iU^tjFeqdtCiJzLtn$8S|e?*~_ z2WKl(X9WzJudE5<(~{216;C8+Q=DyW3jd`A&Vg{g5nGSbK&Z=`R&ZrCAe}Hb{VAJW z3;2D&1mMGfcLQ3V&t?w*KK*t!I~j+tuLE8VI2|-fdABvJWO<#XWB&D}@d}$Zer)kJ zlp5c@S}6lPRRrB7bnMK(iLC`J2i^Q#H1RazQb2Df4NFQ&@5!$(DO*yaEGa3!yC_|_ zwZL6cdFL2cNwvGg(M^ZnNF+yguK9B|%YRb_xn*361V}@)s--_@gf8UFfbe@Lv{__%|oG69WuVgdocI|`mUTz(FvZ`X*7><^)i z|7M*SOD8)xzod6gGH`kwMd<Mu%lB^$Zi=%{>3x4>W+$8yb zBXS#j|M?D1dQiZf0uBoJtblI{cwE3S7Z`Hq3-~1g7Yevk!0QBT7I3|QcM5pFfDa0| zQ@}w1pB3;;0gnqfrcBf?AaBIqxt+i(7ITv0$V@ZmXPS}G{HO;;JuvElQ4frIVAKPj z3lIG8a);4QxxQHDaxGHI@$aZ+D^)hTZJsi(va-7Jipnabd?j8_t9bLy=@knqXXD>i zoeQ`9Q}X{m%Ku@bE#6B1wjzL!D)2o;DAvJjcpo3K;kUsRi9idp;Wyf?%+|Cq65rTv zz(kDMS|Ul?dhtIB%!m(Vu~1up1VpZ*EkU~RV|!a7)QLO)*AKQ>l>dm@7Hai1$MDAA z*V+VGi7^}f`-wOzG{DV46hdd9Jsd>sQK-Sq#&sKd@Jo3J;nNiQ`r|W7w6CExqTDyI zdyRtr93}uL3ukav%LI2Ws0b{kOI_{q?{zhkul55^8)J}g9E=4IxWfi zC2=D%Brp9h|BseK$S)Fpl>Ca!2)G&0EHD2Lq5S`Zbe3dJx%J+f9ohjQfUXQn(k4Uo&9 zi~ksG6noNsx&N2{Px7#6zdY}e^3twfK-O%({J#nM5~Kbt1{l6ec?tLA$jkGe4woTv zcv#ZNNI41nK{nU#cl$Y`-)-V-ADSt@FNs!A+xU5_95d8Xb1N)4PsJ3T0|j&z7!D))j%m2XcQl;`eacQ@*uW?4^eyw60n6Lf{K1Wzu!GOcV=gH zR|sh89QMq)_nhxNzjMz$_uk*m{N>=wPmg|Y-+jNIO~3H%KWzJnbJscOZ2ak5`Szr7 z=>v&;%J+ZZ<-e{nZ60tg^~r>Xn$BITeX^D7MH`a&+1!xE%)PH~<%c|`*F)F0a@d(a z%V}TV%F(Lz*;co$F;=5}`QOdS`qwU8$PMwi+PQXi*7o(SGlyJ2>p6DP>DD;zt1zF} zTAz9MoU_i?&U1A1TdjS7?ON)uzP_z_krR6l>D(iIeUc|~8J;w~+wFOM{Liia|3aJf zy)&I#@yGnIg?l@!g}%O(A99r*&aM5Y^Tf`_Fqdz29r?+nm7d-o{qsh9jha~Ne2sIt zVz;i`zd8NCW&1a*HM`GDn$7wq|KIfFHJw(0uKCx>tK}+`VcYWmR?#InT5TJrueUjO z)=yub)J>R&B%eLsJ_AE*Y&hO>97?OTP-pGFtv!#HmuKF`yPVRk(q4~X-5As7eh&II z(7guOBfbA8x_TD9<}4HY85$jXPb{~@pZ4v(b4Neu+&iV?+y3Mmf9KA49ezyrqSxtl zeOdRK*KG`R8*fegy)DoUdEMIs-3hOIN1%Jg>uv~i7rf45l*wK4x*G%BO}8b_eOI8n z*XwQybVaY*6zI-+-Ma(bkG+npSq?6H-R3}dvwr^|wxlKk^7JM0bi z+X~}DGAwt~A#Ygn&R4@8_Sj$f0_enA4Nw!2$8KlwM7^-YV1BQ#s9mrYZ#cIJ7Pbr4 zV&FTXkp$xIVZlY6F@%0ChFd(S7nbi21`~H~kpdC*!iM=Bq;OWjGPnB@i2DKsCF=BR za|3JZ_6-Vc6>ON_%M@T2tmOgh{Rza4QiP&jF@%0kytg|x^m{ykxC{P(=;fEQ{TCiP zadUUS-W&_t6BqsOh8pe&eQbPeY;3Z2H;X zfBWmX$KKSBkE$m(A>Et&lc(JWk9P28oj`+{*;y3^{JIwM5Xq7Jj`+NoLrP+KGus5D ziiw&cM;}hQj(I)mHxc=uNxaXAbxy?#^rEEwKB}hsqgZD|Y`Y>E+P3L|_~roFp>}A? zs(**{ajrUS52&B=wnH7ZN7dgZ8~b;jzIdL5dr6(U zypA>P=I0e*bQZ?rs5gs;*v!wX!f>GAn|1Bx=ZcIU@fbFa`5}Ju^G{(u>M_(%H$Pi6 ziMu_9^T_-#NAq)D!TB@gjC7}o%WPlL_~63A4xJ|Mr%8|q1@dF!!#AFLH7)(17(E9v zl6*l7!9Ro^vUVt6-@WyXodVx4{2}&gF=~7|9A57C+~;cujR*b7)t#7RX#QC|vjSnepW$!lLy zAKYCQLrQJl6-{N z%j&1ZJA}9 zbQ8knB*AVKgC*_|`>@9z5tf%|u-w03?ZrZ-ILAd9(Vvlm7dP~*J38WK-Du;(+FuoD zRDH0VJ=lpGJ2=>C>YD5Y4KAsh5ToSC^wahWZ5)-y_0!>JQrJf-C zQb*|UON}0RIkn-K_RFN&+&SFO5LjX~>|L=o`LH;N$$Vom{d(Fw-`;(~HqW~Q2code zmQJA*S)1p>;X8%JZ?S=e>^|eHwzGacZDL4^@khP}e4AX^T}}S)_WHHr!EPAl4f%UG z)>}T=yPN?$2fCVl<^d50ey#tH;jM4bhmiEc=U*#*n~%+-vv2n|_RvP()+cP+I#COd z)fDvuVP_n6Bz7crB+oJs!c%@%^1}Y9!fzww7?al&q{G@x*mo7R=Y7h zQ;yorvC1Vwl95H8aut^vRO) zUM$Vd=A)&>d?B~oc7<}a@VVqOzZlg@)uL;Z79uxWcZ+pbsk>&~E!15-D!7GG#mxuH z7ne|LHIr^Fs#nX+MyXm!X5cb2%~H9TDMxeDg(vH!>`>;&uG~;|&(OZ?aHiInUAsW1 zD@E_CTJw#i>s{7ie_e@kGA{TroH#drV! literal 0 HcmV?d00001 diff --git a/TypeCheck.vhd b/TypeCheck.vhd index 8d95d1e..a2fda1b 100644 --- a/TypeCheck.vhd +++ b/TypeCheck.vhd @@ -2,42 +2,60 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity TypeCheck is + port( - N: in std_logic_vector(31 downto 0); - NaN, INF: out std_logic + N : in std_logic_vector(31 downto 0); + NAN, INF : out std_logic ); + end TypeCheck; architecture TypeCheckArch of TypeCheck is - signal G_Bus: std_logic_vector(7 downto 0); - signal T_Bus: std_logic_vector(22 downto 0); - signal G: std_logic := '1'; - signal T: std_logic := '0'; + + signal G_BUS : std_logic_vector(7 downto 0); + signal T_BUS : std_logic_vector(22 downto 0); + signal G : std_logic := '1'; + signal T : std_logic := '0'; + begin - G_Bus <= N(30 downto 23); - T_Bus <= N(22 downto 0); + + G_BUS <= N(30 downto 23); + T_BUS <= N(22 downto 0); - G_compute: process (G_Bus) - variable G_tmp: std_logic; + G_compute: process (G_BUS) + + variable G_TMP : std_logic; + begin - G_tmp := '1'; - for i in G_Bus'range loop - G_tmp := G_tmp and G_Bus(i); + + G_TMP := '1'; + + for i in G_BUS'range loop + G_TMP := G_TMP and G_BUS(i); end loop; - G <= G_tmp; + + G <= G_TMP; + end process; - T_compute: process (T_Bus) - variable T_tmp: std_logic; + T_compute: process (T_BUS) + + variable T_TMP : std_logic; + begin - T_tmp := '0'; - for i in T_Bus'range loop - T_tmp := T_tmp or T_Bus(i); + + T_TMP := '0'; + + for i in T_BUS'range loop + T_TMP := T_TMP or T_BUS(i); end loop; - T <= T_tmp; + + T <= T_TMP; + end process; - NaN <= G and T; + NAN <= G and T; INF <= G and (not T); + end TypeCheckArch; diff --git a/ZeroCheck.vhd b/ZeroCheck.vhd index e13ff55..78b151c 100644 --- a/ZeroCheck.vhd +++ b/ZeroCheck.vhd @@ -3,38 +3,50 @@ use IEEE.STD_LOGIC_1164.ALL; entity ZeroCheck is + port( - X, Y: in std_logic_vector(31 downto 0); - isZero: out std_logic + X, Y : in std_logic_vector(31 downto 0); + IS_ZERO : out std_logic ); + end ZeroCheck; architecture ZeroCheckArch of ZeroCheck is + component EqualCheck is - generic( BITCOUNT: integer := 8 ); - port( - X, Y: in std_logic_vector( (BITCOUNT-1) downto 0 ); - isEqual: out std_logic + + generic( + BITCOUNT : integer := 8 ); + + port( + X, Y : in std_logic_vector((BITCOUNT-1) downto 0); + IS_EQUAL : out std_logic + ); + end component; - signal xSign: std_logic; - signal ySign: std_logic; - signal xAbs: std_logic_vector(30 downto 0); - signal yAbs: std_logic_vector(30 downto 0); - signal isSameAbsValue: std_logic; - signal isSameSign: std_logic; + signal S_SIGN : std_logic; + signal Y_SIGN : std_logic; + signal X_ABS : std_logic_vector(30 downto 0); + signal Y_ABS : std_logic_vector(30 downto 0); + signal IS_SAME_ABS_VALUE : std_logic; + signal IS_SAME_SIGN : std_logic; + begin - xSign <= X(31); - ySign <= Y(31); - xAbs <= X(30 downto 0); - yAbs <= Y(30 downto 0); - isSameSign <= xSign xnor ySign; + S_SIGN <= X(31); + Y_SIGN <= Y(31); + X_ABS <= X(30 downto 0); + Y_ABS <= Y(30 downto 0); + + IS_SAME_SIGN <= S_SIGN xnor Y_SIGN; + AbsCheck: EqualCheck generic map ( BITCOUNT => 31 ) - port map (X => xAbs, Y => yAbs, isEqual => isSameAbsValue); + port map (X => X_ABS, Y => Y_ABS, IS_EQUAL => IS_SAME_ABS_VALUE); + + IS_ZERO <= (not IS_SAME_SIGN) and IS_SAME_ABS_VALUE; - isZero <= (not isSameSign) and isSameAbsValue; end ZeroCheckArch; diff --git a/equalCheck.vhd b/equalCheck.vhd index 15cd1ba..96b05e3 100644 --- a/equalCheck.vhd +++ b/equalCheck.vhd @@ -2,26 +2,40 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity EqualCheck is - generic( BITCOUNT: integer := 8 ); - port( - X, Y: in std_logic_vector( (BITCOUNT-1) downto 0 ); - isEqual: out std_logic + + generic( + BITCOUNT: integer := 8 ); + + port( + X, Y : in std_logic_vector((BITCOUNT-1) downto 0); + IS_EQUAL : out std_logic + ); + end EqualCheck; architecture EqualCheckArch of EqualCheck is - signal compVec: std_logic_vector( (BITCOUNT-1) downto 0 ); -begin - compVec <= X xor Y; + + signal COMP_VEC : std_logic_vector((BITCOUNT-1) downto 0); - res_compute: process (compVec) - variable res_tmp: std_logic; +begin + + COMP_VEC <= X xor Y; + + RES_COMPUTE: process (COMP_VEC) + + variable RES_TMP : std_logic; + begin - res_tmp := '0'; - for i in compVec'range loop - res_tmp := res_tmp or compVec(i); + + RES_TMP := '0'; + + for i in COMP_VEC'range loop + RES_TMP := RES_TMP or COMP_VEC(i); end loop; - isEqual <= not res_tmp; + + IS_EQUAL <= not RES_TMP; + end process; end EqualCheckArch; diff --git a/fuse.log b/fuse.log index f57a166..b6e5b65 100644 --- a/fuse.log +++ b/fuse.log @@ -1,21 +1,21 @@ -Running: /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/unwrapped/fuse -relaunch -intstyle "ise" -incremental -lib "secureip" -o "/home/ise/gianni/IEEE754Adder/FullAdderTest_isim_beh.exe" -prj "/home/ise/gianni/IEEE754Adder/FullAdderTest_beh.prj" "work.FullAdderTest" +Running: /opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64/unwrapped/fuse -intstyle ise -incremental -lib secureip -o /home/ise/gianni/IEEE754Adder/TwoComplementTest_isim_beh.exe -prj /home/ise/gianni/IEEE754Adder/TwoComplementTest_beh.prj work.TwoComplementTest ISim P.20160913 (signature 0xfbc00daa) Number of CPUs detected in this system: 1 Turning on mult-threading, number of parallel sub-compilation jobs: 0 Determining compilation order of HDL files -Parsing VHDL file "/home/ise/gianni/IEEE754Adder/FullAdder.vhd" into library work -Parsing VHDL file "/home/ise/gianni/IEEE754Adder/FullAdderTest.vhd" into library work +Parsing VHDL file "/home/ise/gianni/IEEE754Adder/TwoComplement.vhd" into library work +Parsing VHDL file "/home/ise/gianni/IEEE754Adder/TwoComplementTest.vhd" into library work Starting static elaboration Completed static elaboration Fuse Memory Usage: 95308 KB -Fuse CPU Usage: 2530 ms +Fuse CPU Usage: 2300 ms Compiling package standard Compiling package std_logic_1164 -Compiling architecture fulladderarch of entity FullAdder [fulladder_default] -Compiling architecture behavior of entity fulladdertest +Compiling architecture twocomplementarch of entity TwoComplement [\TwoComplement(8)\] +Compiling architecture behavior of entity twocomplementtest Time Resolution for simulation is 1ps. Compiled 5 VHDL Units -Built simulation executable /home/ise/gianni/IEEE754Adder/FullAdderTest_isim_beh.exe -Fuse Memory Usage: 103940 KB -Fuse CPU Usage: 2640 ms -GCC CPU Usage: 440 ms +Built simulation executable /home/ise/gianni/IEEE754Adder/TwoComplementTest_isim_beh.exe +Fuse Memory Usage: 103960 KB +Fuse CPU Usage: 2400 ms +GCC CPU Usage: 1480 ms diff --git a/fuseRelaunch.cmd b/fuseRelaunch.cmd index 489428f..07c2c4c 100644 --- a/fuseRelaunch.cmd +++ b/fuseRelaunch.cmd @@ -1 +1 @@ --intstyle "ise" -incremental -lib "secureip" -o "/home/ise/gianni/IEEE754Adder/FullAdderTest_isim_beh.exe" -prj "/home/ise/gianni/IEEE754Adder/FullAdderTest_beh.prj" "work.FullAdderTest" +-intstyle "ise" -incremental -lib "secureip" -o "/home/ise/gianni/IEEE754Adder/TwoComplementTest_isim_beh.exe" -prj "/home/ise/gianni/IEEE754Adder/TwoComplementTest_beh.prj" "work.TwoComplementTest" diff --git a/isim.log b/isim.log index cabc714..e1e823f 100644 --- a/isim.log +++ b/isim.log @@ -1,5 +1,5 @@ ISim log file -Running: /home/ise/gianni/IEEE754Adder/FullAdderTest_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb /home/ise/gianni/IEEE754Adder/FullAdderTest_isim_beh.wdb +Running: /home/ise/gianni/IEEE754Adder/TwoComplementTest_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb /home/ise/gianni/IEEE754Adder/TwoComplementTest_isim_beh.wdb ISim P.20160913 (signature 0xfbc00daa) ---------------------------------------------------------------------- WARNING:Security:42 - Your software subscription period has lapsed. Your current version of Xilinx tools will continue to function, but you no longer qualify for Xilinx software updates or new releases. @@ -13,14 +13,4 @@ Time resolution is 1 ps # run 1000 ns Simulator is doing circuit initialization process. Finished circuit initialization process. -ISim P.20160913 (signature 0xfbc00daa) ----------------------------------------------------------------------- -WARNING:Security:42 - Your software subscription period has lapsed. Your current version of Xilinx tools will continue to function, but you no longer qualify for Xilinx software updates or new releases. - - ----------------------------------------------------------------------- -This is a Full version of ISim. -# run 1000 ns -Simulator is doing circuit initialization process. -Finished circuit initialization process. # exit 0 diff --git a/isim/ComparatorTest_isim_beh.exe.sim/ComparatorTest_isim_beh.exe b/isim/ComparatorTest_isim_beh.exe.sim/ComparatorTest_isim_beh.exe deleted file mode 100644 index caf9c4adc76dde0eaefc09c02c5f66d65ac7d50c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 49847 zcmeHw3w%`7wfD&+Jd~ITh>DczsIi8sVcrixI|CD$Xv8Sk(rTTAWFTY1BqkFZy`o@< zay$&i2fbV?z4U(Ge%7mk7P$C8gP=icEk61gwYAuyofuTKRH;?-{nvg>&YaAIfr4Ov z9A(zt>#V)@+Iz3P*4k(9lXGKv<@u((JVjn6<&SmlCVp#mT;t?%Lgo=v`HXLex49;0?(laen|-lQhfuLUnDu4(#f+D zDvE_6s2s`a7x(?0)eJA;_7N z9OeB4lpy;ZfUGZot9mD1N9mq+PSDftX9)=l1wRR8xl2%vxKehaKJ5PA< zt)8oHy!-l|t7lKBTi)~hqlu4--h1W4qP%adehQ>nFF)>(OvdYSATr^bvfvkG!T)C# z{JJdot-xFG&v?BCL?(ImWWkR@$7P~FD+|6Hc#E-8m))Uo!kL%2KnR_4b#d&+i4kSox@b_KIqO z;ox?Gw;1q$1%9+LUa1mzIWLzA{=0=8UM{$;$inA6!N)K7(7dHk*g zNQ_a6l+v6062;&j1RtwG9%WKvQ+(3wD6B-=B%8C$GpS-`c{n(ez@##=BKQ|0OA?(^ z-x8f4iOq|~BCsP$cy2TnRoYsj;rO*pk#I{S9)WoBWF(n+HH@^{>cujLW9Oe{}dC``5q&eKuItNwGYp$6e zjQFmVXk5=CpIJmCwj312Eo zPHU)XX)#tXKN<@+HAia0tufJL(J>&_cUP+Vcw-Znv939K4Vo}F+6+QgadsoK`&W@-S&mJd{OgmI+d%u;1K$L2^&V?!%- zeRytbtd@-d6;8Dv8k^h5ija)Z3~I0fC8{MKN5dNS_kAy&M zo;fQpBN(_eC}e?973JmS;VK;`WqM`B)M;URnZ3-FHfe^)U^=BPO6O(xj|d1K1A&~k zt>dFdQE)Vj94M}FcT_V-KJ*(b#eXb1ya-{~jZz)HL`QGc;ZM=wy*m6!I{a*bC*Ck6 zsjFIt*SE1=hZmx=GEF+Xj9KVLn+~s!>lW(p`nYC^4livb@#)mz(Q&D3g${po3X7OS zhaaWG->1VLqrPUhfxsbogU+^xJiKioxWS z)ZvelNWfh>{P8;c9v%J!9bUP!n&oKR$La7Rb@&r?_+lM?ybd2~-#Ie*3N$A<2WpaB z(5fh*_AMhf_q(3&uqgefzkpx!$$q3LUr!}_xA)^Z{b|aR%Jptz`Nt?vs@B`Z@{drS zRIGOm%imA=0?Myq`TwFksZ?(#%im3TQl;L7EPn^(sd>FkEPpHINu_$LS^g%tgYcXwwe zjcrI9_IkTT33YVEP5s;0(01yt%R=fqGl_8FxocF5qO@N4_-rhpQ0#tWLyP*VD7Wb! z`Jwi{ypXS_rDU%8Bg@XnROI_jI%& zxfSWBcB5^{BBWT^WLtrv@YGL!BvWTKfobC0qgtTQ1ynw9?s!VKeL=jAd;2CxshUD+ zck&m6Nt|0mcYna|{z~3`OZ_nUUBXc#eh9HT1K$l?7MR7P^M(?^k`1B6ZJp4lkQ%xo zl$bpwl&CCO5>iJ!n^(~7pG8w6)bUB{xgm8{$-V8@73Rf#m5Dhc%e4t5+EoRbDWJYz zZeHCNR9`o*-Wu@zX2EwtTE2Nb%|GwuKMY(E_+jA6%^@{ja!=nAnn}B$K)ZCLZ|jX? z+CS@$o77DcHf2eaz5#}>lqU-F0e>CRMg`Qa;F%_53QEp5 zuXhd)n%Cz|PZV_F-|%v^t5W;lPW0b&a2Xz4lIKgB7hj9+4d(Tf=e-nEp9@ZWDd_9H zVSR5cu+-wDe@RoplB!`L?Us?4y5{vS`_1b=NjUMpUHt@XtebNq3u*t}gt?9qCS!?( zxiQW{HU#56xiQW{rf2M55--__KAwguY$s zw!WQ$BDt7RY#?K~c|$(^N{&fAM?oIVPF@ZBm=ZvcADx}NCjP7Z!T9qhr<1(JaN{^fFEu| z^At51ARP-Oj@yap)nD@T>kJOmnf#m>XRPx#bSt0gBtIe* zWoBs6&Qi>_kh+dsa7bOg9iT$}jrRS*P@;p}en{=u1zb0amDSk=aAPBZ>q+54+HxXL ze?TQDFu+}k2aF|>`VvGCYJa*AHEy87<3o$Oy$BsD6aP)INrifS$rWl3>N+X3DOnKO zw7noSaZ4x>FENMO-`#Z)5>qNvH>xH^$=g2xH;vU=fyovOU?^vW+BZ^T6mthns7m$0 zDO9JnoaE5PsLMYG3?){MC9Jw~4Po=uj#mlG*=pm>t2>BF?bt?lSsIggGRxku;3BOI zm6G_{IE?*05TZieqP|h7en6h`3XEMyTS)?H$AXa6faqc+6CfW6>T>m1^}+&m+Q^A7 znOAQ@grH6v$5|N(ExjzeppWBSm)D(2A6WmyIrZM@=t6x@^7Xla5HjUCiCLY@SDGqDh}pvWMZg$&g`IskM+?4wf8mL#6a>MJ=25)HQ&72 zRG}UJY=Ozt_p2f9=Jzc>Y_)aOerxNW|4PGgh_&?t2%*>3hY%%x?X>l|kB8LOQ?EbN z+8ScEbt6r5nArOmSWdIgXkuwn7!4*F9gY*ThMCv|H?fI5beC;&so#fD-UOqZpsC7n zA#EKIgxa5liCwn}N%f?V#s%3=OH2HcXMDtTm(ppLwg(7U+Q-u@Er~;xmaRw<32tc< zD+qg{y7C1;A*Obc)ZjF?Cy-FLQLx043%H$KPHoV}!_GP(f?jiXGm%(-XrrOR zjQ=QbX4MY|hWb56JG+h=f*xab)=s9ogQPdF?ovAnxSgfEw6nZr%PqJAPev9#q$Ro- zP$_i8n2e-*+BzEGp!O}ydm2`5e3?hk8Q;%7By|RdJs>$VPc*^2dN^z)EcC>;5s7f4 z%*2FIE(F7MR0EPlOA1YsS+ANGKL-Z+D@i&SW+pcoW=il*H78~v-TPl4FdEo{pt9?@ z$})}Y9!|l%dL>l$S*R=x*Gsts3}jtW*RIG_&Z3M(bd_a}fih-5Vf4Xea6dT`KTqMGl02TsDITElpm>030M~KE$U>c&YU}j*ArNQQ zXWrlDWj@m9TR>*i=YN6l_K`lOEEGwm8C_CVqXr+e@OC4F&};C5og~!PEWBOu9%*0J z@OE_kPzMZ$jkfNWy=9BBg5JYGTg?zcudTmjLVeA&^}W9gsjV$nA8Ktq2(iMU4R1s5 zrrdIxr4_NlR}tP`1`+g{dmNNNgoKAxcuNmb_bt5r#yi~g9|>;{WO%#$&!iuRIJ{j2 zA@oXHy(2Y`4y*8%EA767w{!mlrOiFO^$_`y@OGb`-oCP(Da)Y^Z{LCtdJX>B+a%Q2 zEMR!_k3$9wbE1bjVE7jZZ%=>gFwj;jgwSj2E+*91Oj}3&VMuM=GWSqx>%Uxh8+|k7 zmJd~UdksX;Yp(nEB+}vc^p=hl>|1y{|La(%oUQf-V z!z#SxO1m%N?JciCX>$*6XA}A17v3hOk&f)b<4_z@Yk#@`dolFDx|>dp;5bQw?uaci zTFH0k;Ylh=tYamv#JPp#e20RaCP=Z^pl3nRsbInO>Ld$}XS-}`K#yIv{oGz7M#-(e zV~R!TGy^T3^xlu=WI4#7B}iQDC^aGW)rg07l6m#>YGNx1#&*;;iQP2*6rWBlyo(z3 zs{M=qM-n7hY1&O=TxmCrTCLG;S{HH4?bHH$wl8DCW1L|(E89`lV+)mg7T()xy z)LG_4EBN-lgyjBhC+tNbnW{oju>r<*gS$&t)a612*$J92FBefQ+wWd{ z6lxwy6Bpdt`!02pUf0s9mRLFyBQum;4y=rcF@#|nx|MbF;CiU^}9%_^7BR13%BoD!mImk}0ibJe8ok}NFD%JWz^ZJ$4 zQA=iz1u4m^DJqK-;by5>bcBq&rFNou^){6qS*6oqPcOKNoxn{{Q*fZ{kIC^6L$BR$ zFtIW`6=Rjq30xYnoMPDn6}X=8yit{znWgi!r-Ty6S!SiF@`S9>fe4vy?fpBo0MP++ zFLY*%MH{K;K;h?Wv)s+=Tb`|~d3}NnN};JY1}ThT@Yh-6^Jo0?8h`TE!$RXrA%2_A3 zj`Gr5Yy0*vc+wVOmZ>dAj`AL$qrAU-n)KrkKMY#n}w7_X03CDWt1ZJ615j9vmVt#zArxUTG%| zyqdNF6vj6#mZWgdE+W927M0qAJxEowKT{ac%JFtIi=n`+5+1s6HcF_+t1}AJ^9SCHLziZHGme^xUM)exdf9n>Z8^0L{puvtH>ts?t{r=d zqC7@#jy^dRKgl)-)cZY9XbEbXdG&Mr`MJhgnkdM}8-R2}h5Mrr+6Yu?vs5bqj4{9l` z6uyP`(fJ#g@ZVjGg9R0LvJ}qfCrBXe>VY%*KPO(8sq1J=&cs7}wyxrf1VbIvb?k9@ zKAS}9b9fE8(2SznaU=DX35~sZ@d^0NU&(ZWy&93ndWkRh&SaR$9ZWNpy#q?261~YU z1}`VlXEuqC;UiHh)E6JKm}*^~8!DICNn z0`LtW-sa7hXi{`=Y;8rk{#uF_{$me zt`4abvld8G>r)1T)W*E{Jxuj{u1+1)$LR)wFusm9qGXS+dLPej8CHP`BTh;J%Rrqu z;PXi~X&o~Ad=kw#RjK_PhjtRdP(FVf5gU9yDPihmOClJ`=XVh?dxc*3{Ml51d_HU0 z{`&kC|7-C1)H9gz8GSzW6Yk~e^GRg#`Sd=U^!ZDXmOh^iF+GRV`TT{r&wW0%kNNx# zh^RLMhr0YnC*I>Ppvcfe9^VHrwl*yO)QhSWMcedXi)J_qTEXe*$0F~Cy^`iBtA#JX+IlvwS zGWWinac0JZhYF-`nL~xA*MS#310-qKVzUd0jPH$7FA4Wf6$tmv-n65!H81wyH-9A? zbLKG8r!4i;p=ZyZutq=}hZ4+;zq)z5wUDx~DB&Fs^u z5k{ZBB!xro62VYDeQSzXP5JcB6i%3|z54VGRDw-iVGxB+ucq2*2GhXc@%jGy^p}4{ zN;KG~Q)dnG>C|ug;L}NZ^66^{3ZK3hX*h}Pgd(3#_tbR@DceEmK|Y(WkRI>8MCOG0yR*2sPH=)2XQjpDy|udln*$XH)uNh$VbFmmfa;Rg#%ChfitN zNP|yrqY6?}noV%Ac+l?P?wjrN3so~l>{MDGu}^*ktxY?8(m{HI-7wb>vZ~qHMCCOvD)CXX(+S#>=pc8u0DGW$Pd6e z{UwN~pXRr(N%z^T8uHmRwz>LjF`M;1n_6e^*-U8`b(_;C_%;aiRj$+NPo<%G8z7%? z){{-s&-(I*&;+~IvR6p78eSjo4 z`gHPS2X~$R;>l?~{SOf9D_N(rxxP>9^h@u@G);@$hg_$h2J#%&>3e?3IqMvKCptcx zqkoRb(;Phw(@>5cD!G+NUxUvl51P&A(-`7ju0Eec zJ^<_VKR`si8CZE=y3c3T9MpCCvXlYv(>ne45a=MS)46-kX`Mdz7sk21|LgSe#Oug9 zJ+-4IeL7hr*N1StCryot^Y3`dwWGMH2 zWS#!i?Wa#!W$@WzMrVAsF2ed;eKxuO1F%k?2od$uoW2wA)$XSkrY1PsPcMZ4U*$TT z{-k=2>-3dB!(=-^>-0;A7bfnJb^6zSo&Mc>GW+zyZ=F5`lIkb>=S*^=Pp4Sq;I7l3 z$H#PZM`&CS<11OGv$?)c>-78Y=I&VcrzvSz>4AKvKTY{>AkT4~UL`o^xK2NX$kQA> z4bxDL{>VBVJvPJ{I$Dh$gmrpog~8_^e(Q7>MAVyszag*p`3HZUuBHqC+kA6EfP=J7 z=k7hHb^4P(G0ye-13i zl&*nT2YQ{(-S@t%)BEngG|YXS{shQ#T&LeooO55N&mr7eY*6HFqWC_fd6!ZEqONx`%F$(bRUetxJZ{U0pefcY- z`;rnEMu;JOPco^2oEnS|ge?h{;15LvODvU|H-Jy~U?He}{3M8!r>Ggpg`NHV?R_IY zeN%lC=bfD=;}b;hqwyhaa&juBB#Hx7S%O2BM^S@{%e5&bLG|zHOeTX#p#8%UflvQf zuCmq^e`CG@rM zKsF&vYVc8DlM z2B*o%6Ic^iYfc!r#oGHh(=2LDKpTtCB~_MKwLF{`TwH+Z)BavwKpk7++h$&NKC->L zaFB#PhqRqO!IY#=FyT8)4eUEiQ0#x8KeRFE5BgkS@?ppqN@(;M$B=gCNP2HsJ9`QS zKBT@|p)F97ALBLK$^^d5RGH9-v_FY`gTZtx>6ARbPv_|OhAj56t;gkvh}=B z;_)h-;?Yor)Z%07mwar`DiJ?9))R%%G+Xr=~r? zzLS`6_2Nwm*rb>7cb1Y15;P{~E*oc2k{?l;>=SjtF6sjDIjw($603Xgg-KFo{(;5q z7}&>((Fzh9uWCCME*-6*V>CQheH}9 zaV`wy;e&FleDWSc(FrJ8MMck}qA^y}up7uOfpG}!#|&&peakDux4=mF?f6`#PWVdg z^e=E!1%3b2*~E1mmw)y#M8(Y)%x&@%poyl8Xm*oa1466MhBkfholxGhp_jgd=oVCv zd|OLz^<31mT+}m(c&uSoMRMdVD=|r?+zAI|20zd>1dY>5Kdd^|j>V zx1x0&@5d+D-lXrO;!IQ}KANX~80a7S`%wF4d^5AJ`MrSwA%z@A3JK#KC;hy>#0ur| z()T6lqI0M0^OyKz27bs9YTwfzKk;#YXEi><8pJ@f_l?ANJT-ieb5DQkN2Hhd!Y22z z$w|~nq^UFwpvJoE$BoTD3fF{fR)^PR_qsgxu-)OYyL~n*zOOv!o6HJ*F&iIkj@8vP z*D)?pe4~33efqj_ep9p|QdfpgQI`>p%1+XM&$*0$g*JQ6_nu{Ly;A8-ZW7Qi)t)o|R~0AB^%1sFm|QjDnR6F5yj z;JsLBHUWO`Kl=Mu0iJ<}Y1;sQ_cLrB!sxaCyuaTH=*GrbHQ;dUiFX37#lep*z&Q_q zFW_;j`}-}hg6}*GIRLL&+uy$s(2KL~YXG0a3mw}5wI@(NT-1W6P(NVf)2JWtRJ@2y zvERqts2}i!U!#6}Dfr#rqJF?tub_UwyI({7fblm`Kj5-IqJF>-{{A;vuBm@P{eTnt zP(PsiL(~tr>toapxbqX#592--PO22}&wodM13vs2>IYo<4~!e&TEOjq4WB~}d@q*1 zLu&zC0_X*#Yb*y!-gTEMd2Pjc-zXe0vJ<|n1bB*?b}s4fUrscEqT-uPfueEk`N1NK zX=%|oAOb}rgSbWiu2B2?za+Y;Ma9cZQ;WtW@~0MAmKFqxN^c$(D6+N>4;Iy%!bcZb zfe92@Kmp3BMI!?c5Esd@6#vG+ters!aS{Gr{5u7ByF>yK{t5h>oPqvT;4jEPzZ3Yn z4D(2@{Y!|V46zwPS8IDo0Thl9q7-3&H9y|f4z?X9qIh<0{v>(wr$zy?@FiN z0{V+#19O#UH|T$Z=Z(42mlP<UPu|5>2-KHuN} znO>eD_%8;%>BZdTUkmyNUdmnm9iaco?{b%aENrXg_1xtTg8seV=PrL7^mlE~UH*GP zf5VR4<$o3QBa^wwPkyHWvDd48h^3HLfaS7A?#(eR_0} z7cLV4&R{=T0u~M6SNlPK|BFZ~vx}+{rlt8e7qkyE-J1#@Slo3N=#E0n_a@Q(u&8R8 zDUrXl;O1fN!%YuJN|O5t&^-Y9CuJhl~8}BW^P-%TE-TW=ogM ziu8+%W$=oKTEC>kVK-(2xCH+LVjDRF7&e$o)NIC^d>)pfBUk(krLG=KYkSj zrjT8w!~G0m>a%N&$gBIwbNEHC>9!^raIi2I`WAqQ=EaFFR* zCdTb&0zM?*lLEdd;9CNIAmEn*79HbfY)=xfOu+L5oFU+q0>%WqLBM4K{!GA!1bkA! z7X^Gvzz+ocQou}Y%7j~L=5pRz%;CcV{#n4IM|1oX0c!Tgzc(n8W7FIc)nLhfmJr@ZO6#eEvcXKMQd<{d^AZ7xi8?jpu9q9R5b&e||2{ z7f&f)Q6IDGI_4*T&;i>@;T ze$qF2{`&D8mJ9rgC-A)GI1Yax@DGgTd9Q$Rf&YhqHyz9GD_O3ei2D+DioAppFQLRs zDDhd?EB_|=NqD8mODORYO1y*;pN;=+(M}0p6L|?GUP6hNP~s*3dQmaaw*_RC>we0Fo=f=gRL-y1fZyAF9m}+&Ma(M+ca(GfCIfzN_tTi_GS7*2N%*+P zKW)J8?Y?BG-oWL1d;y0$uj5eO4=&{Sr8jaoecH5hETz+DTsG0-EOVB5EOx8SZgtok zmeNZjb(T;~oa4{7c_u1KStfrHm#l~9xU4cw zP{lue`8E;PwTbfd>{)+F{V5j6y8!j&i;Mj1PH_<@7-yJbvK+sQXYWYp%a`8dXSYgk zVn7jWcm}_+eu-Fx1eKTl@4d;79M=1y{3?U|vi}$ne(u9RefgetKfBe_&VWLDGy2O| z|9afimrr*18F{jUmzpKUk>>`+Pv*Ojpz>mxD73*xm%oz%#n@j)`MW`;FJC3fSLIm# zMML>&QNCJ~H`Zs&zhWp~FUr^FSpRmEC*76pm+O=^QGOLQ4wuw#S${D{E4_gF_K&-x zn%x<92PY`yAoVNDOZWlq=*!D>q+gWpNtNUYSx&-F4dpF&Rx?7&ot!>pI7QYXpafqa zLA+%9Ei0?ZBKw1851D;=ED3;ELm6CQ-e=}bhtw?*Ajvte< zbLseF6=}cH@nf;)T&+j3biQ(&BJGX-X1;Q~BJD^z{scwZhjje7)bpcs{E3R3&*}K_ zikzqEc!~zoFVa_&a*Q%X_(ge_)Ve_Vs9)wKoPb20GPr-f&G3W!hwMWho)Q}SryO{y zx7twe<&1tnemS4668KVKf8;!E6MVKA_+*ox;u(@ZWEij88Gf|l?errxaQ!EendJW; z;7R^ni~Iw`NNvKuO#QYa3;wUb=P4&DtNy(jDLgM_zu0R9Bz)ITsu@Pw)nVum!Z#W4 zMFQ_P;7<_vVgvpZf$v(*`OA9G6!>Zb-X-vg0skF=_ut9++=o0}^oRRNPK%-5O9kF) zz+W!#RR(;Wz*iga^96pP0e_9acN*|F3j8Vqp8f_d$+^aWzg^(B3%u-~y9M5F7_a{W ze5P^VDCl-7zSkCdlL%oiySEl8-|;6gv6WP1Zhbpnr58OjvH{Q7wo z{954iunLfI4P%C%=NW#moqPrOF{oEQPh@+RpdW!Cf$+P99at_H6$-q3{&$?fe@Ecu zb5FMShI?^=H~O7_6L|St)-L$0X86JWXq}+1TI6SxtocYi!|sV-r=XY5JLQ>)X9Zq9x0U$MvhW#&g&xVXRA<@09Q-W^%!KLwuT znJwm>Wc4}l7Man(Q6spV@_Dt?hw}tpK985}y;|T?&;2oebo~PO(aLzmYVe!C67+H( zfbDIAJ}L0>dAh9ko0$02Z}K_4oJUm*&#FrMxf=LP{of||$bE%3p!ak=n1xT5pqJ0* z<#K&@7W(55u#=p1;<;lfXRmk!UhX5ve!EKGA3W91C}n$BGQ23P1M3C7+$VWV$loXM za({xIT|hZ{PGZrOmq{n^B&Xc3k$j>8FZX3+|NM;MW$6LYUQ$pb7J`X#Cf_s~QCq48V{Lb@?UKG}W zK87E>Uic^r{fI)|pQ-&D!E1`Z%l)d;1ine&<^GuL=l>RXx!)%H?RAFN2`G{u3i^AF z^)t*p0zdqi%>8_N7W_F3e~cpc=cGO~W}&}M(93-+skf^IUhWG@efX2W%l$ApjwclH zev|u-X9)Q(WO$L$fqB4>HXW1p9=G=lmee=K6)Ac7)9>eekSb9e1Vtyt1|xiPl1>Fp3=U(Ebwwa zSK4`{wx*#WJa2wujLy$D$CcXVcuTw$ZyeMr;qbIs7hf8#te80~97Zmfmb+k@?p9r6 zcwR%}oSKF(+v^C|w6-a9^u8ey$Ju+g1BdqMMmSp6MmeX#w@d0;=g+@Z)>A$s$f`O2 z(!fRKVVR?9WO|?$wz0x$88}Km=&XKteoZuncBGy5uT^3V)-sRFN=Y&0WyTjqKx}9#1!{@Pid{$f7Wp#L*z}gkklq?0^c=5#R zwYl-1)oydTe0E*AL2sh4x@>Nz!{_t*ybg!Q>T&rn_8wg!G1D@abvS*f$m{ZXJvO() zfmdGi^@Z8KV>sLpol_gGZE5BCww7o(er;1E+!Be0>mqY&S{vfwYib%=BU##HbGbcE zufy(iIlNw^oOpLdCtzmD23lqJT3zTsw|DP_98Of=_BwqY>U$d+LtCAhYqz^yJ|E_e&+c?P++gL^@yT4o>-Lf5aXK+-9uJCm^rJv^H#IlbMp|0J zKd!;f?oe;xFs;lYnZpbIUY`w}Xm?wkF1Ks1lG*GI?0MU5R!n)f&t-Lbb13BT`D_la z$Lqm+LLS;Scj~)89Hw1kp<2{7yjau_uC0&M{wUmB6Pp(aH_k;X>LP7fnqjv=Q5`PK z3G^}EvU2E~5e{QFdPu#+E4q%wfTtVN!sc*0teEFE*C35( zmdyEUdztyzJ#KWQ9mWkZ`rLL{VO_J*WMmBJ^}&Y5dC}Ui4gDxBL1r(-PJv{u7G}Wi z_If-Hx6A8<+Sv6jCUcPi_42s9F0a+C*A+Pf;+ViKQP{?zCxOl3bHOxNQLh_T1>VQ0 zp9Yx)fJw$Hj4q!CcG88o!)i~n(BTWN312F@d|E?IOACfD8zWh}mGsFAW4Lz{trOYq z@!IV^=ir$u2Yh}s27?``4Y$%coXkQDIBWQIyTk3%cW34z!r{7Mj^QZWHkU(RhK4|R zD70RB)ie!?H#TMO6PpwIXN5P1b+fsgF#I}2)cNrtw8{g!mp$soI-#cudR>9=4I(k7$KLF{`a~N zh*+W5j=d`s!eY0_3ax_6_rY|!-Fu$}Py;^i?RJF4F00*R(}xM!22O?+Hk%7w?C|N! zqzzHZ`V8j8I$jI}3oqHK!SA15Suu55*j{EYbFr?a(5kkzxtV5R1N>3eF2gKv!v&Ez zHWyx3b`6$_4aZ4evC?Y(!HCD^Qi8KN> z8#9Y(x7j=nrxRWiD@DWxFxEOT5#iJ}U7N0Y^xAm1DvTjQ#E0hjvg#dTdJ5>RHZm1< zuhX%2>k9+vMssZ#O)P66m`<2*#l?vC>R@wQTOxIA3b2(~U4+bGHYsS~1M80gz*^R2 zx55VKcxLGo*nGFmX?5Cdh?lV1+G~jcKWj&TZ$mJ=*CMF^3(Fp-9cuxfN3ZYyA0ABr AMF0Q* diff --git a/isim/ComparatorTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/ComparatorTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg deleted file mode 100644 index 6d2714f6d1474ce58be5c601225cf2ba8ea3ac0c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4458 zcmchZZHQE56vrR8EZsEiJvDV)v&q!GGrI2T_QGmzsi_z$Ss~Nx%yswX?A&4Qopn|s z?PEp+eXJ0IULY`nqAx+zmxv{TAflk4PvXZu2t@_<|J`|>ox9`88bk-qoVWjTp7WgZ z+(W6BGS`K`Of&n-6Q`CE*s zEfQXOMtpdzo>(O&B-Q*ZzDG># zf;b&pp$%8br_#-8>FL-8*{kJek6cG=NOs1?hC5`3P}7Fcy~Je3oOKh$r?$&n7%)y>qR^Wc|uy|{-y7erf(odLkIHD%VNn+%A zP3zBz*<)FPFoxMU!p|D;)V6LGDVN!+4G)N)7C&q;?p)M@4%16*=XyGQ_mX<#e_i0W z#Y;}Ndd8m2&k^;YJIVZ@w9LrUc^;BIS02~Hv?R}za(pX>_J~ohRuAXW>n%B7 zT4vo)}yjyFkv2d|6@hl37%euydeMB({!#e{kXJp3c4$gn8eS_oP6(q=V(#PG0)q?ipD64fX|rhNXjb z-&OjN#&Z9Ny(G|Ml3@8?08s;ReB|Xh;f~DL2j_7~&dS|`z;Y)Xma~FgAnb0WI3tES zvF>>z=AIGG#rYgLKlWTYwYoE;b!v0{HoDK%v)#h~8LG%kB?{)Xh zxE7sy*4nkaW3pCf?(412+%{O<)q`}p)alyN{HYt2n|jjwU#soTGxXu|uJrllvcK8iK7Y~#{kYj-JKfEY zI|I49$326HgC&k6jwFucIYv?^k{Xem70Gjp@mjH7jKlh2KZ<)N#>&PEYq57Us07ufm+$Kx@b(-UF6=sl@D#6jQN82^ zzVCZcTrO0?(V$ew=llCwi?4CF1kCzIlE7q-WKH&{I491FpAkPL-Y4EI-jp?McwxdX zspQ1-@7A}6y$T>!QRcee1C3xev4O+kI#K0i`BBt71piNoYtygY<;B( zX<>n3x7AZlPcA{D5u5h&l2UinOJkj#X^zH|W^y!cnvozbg^g-#f@_l&~cj2!7fDF5UHNFV`T9W$W3Vq*MD0qs(iCs1ylZj6L5}kjFCo}nl18J zC4+o8nnl;#EZ`bAuIGAyi%XXWhT-|GpxqH80K{kqZEbXdfLj(2%rMv}!(>v<#OL5Z z0+)kX1WbUXPhGuL7?$F#b13zJ!8=lEIgxY;It2wlPGq&d?O4CGwVA`7JHcDAq*W~w zTtrd)ZzVoT!6wkN7R|A@pLec(pXLCKfb^OQ1lHP`bKd+R*NJren|MR zCE!~Tyh?%`g5?mq=2=~>UY+kg&*V6pa@wfp_fva(>ymHN_(~8Kp>Z^gx96?vG>xyv k&A)U#jAvor2DGzBQME2ErsA -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/ise/gianni/IEEE754Adder/Comparator.vhd"; -extern char *IEEE_P_2592010699; - -char *ieee_p_2592010699_sub_16439989832805790689_503743352(char *, char *, char *, char *, char *, char *); -char *ieee_p_2592010699_sub_207919886985903570_503743352(char *, char *, char *, char *); -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_0883098610_0495709306_p_0(char *t0) -{ - char t1[16]; - char t4[16]; - char *t2; - char *t3; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(17, ng0); - -LAB3: t2 = (t0 + 1032U); - t3 = *((char **)t2); - t2 = (t0 + 6144U); - t5 = (t0 + 1192U); - t6 = *((char **)t5); - t5 = (t0 + 6160U); - t7 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t4, t6, t5); - t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t3, t2, t7, t4); - t9 = (t1 + 12U); - t10 = *((unsigned int *)t9); - t11 = (1U * t10); - t12 = (8U != t11); - if (t12 == 1) - goto LAB5; - -LAB6: t13 = (t0 + 4112); - t14 = (t13 + 56U); - t15 = *((char **)t14); - t16 = (t15 + 56U); - t17 = *((char **)t16); - memcpy(t17, t8, 8U); - xsi_driver_first_trans_fast(t13); - -LAB2: t18 = (t0 + 4000); - *((int *)t18) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(8U, t11, 0); - goto LAB6; - -} - -static void work_a_0883098610_0495709306_p_1(char *t0) -{ - char t1[16]; - char t2[16]; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(18, ng0); - -LAB3: t3 = (t0 + 1032U); - t4 = *((char **)t3); - t3 = (t0 + 6144U); - t5 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t2, t4, t3); - t6 = (t0 + 1192U); - t7 = *((char **)t6); - t6 = (t0 + 6160U); - t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t5, t2, t7, t6); - t9 = (t1 + 12U); - t10 = *((unsigned int *)t9); - t11 = (1U * t10); - t12 = (8U != t11); - if (t12 == 1) - goto LAB5; - -LAB6: t13 = (t0 + 4176); - t14 = (t13 + 56U); - t15 = *((char **)t14); - t16 = (t15 + 56U); - t17 = *((char **)t16); - memcpy(t17, t8, 8U); - xsi_driver_first_trans_fast(t13); - -LAB2: t18 = (t0 + 4016); - *((int *)t18) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(8U, t11, 0); - goto LAB6; - -} - -static void work_a_0883098610_0495709306_p_2(char *t0) -{ - char *t1; - char *t2; - int t3; - int t4; - int t5; - char *t6; - char *t7; - unsigned char t8; - char *t9; - int t10; - int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned char t16; - unsigned char t17; - char *t18; - char *t19; - int t20; - int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - char *t25; - unsigned char t26; - unsigned char t27; - char *t28; - char *t29; - unsigned char t30; - unsigned char t31; - unsigned char t32; - char *t33; - -LAB0: xsi_set_current_line(24, ng0); - t1 = (t0 + 2088U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)2; - xsi_set_current_line(25, ng0); - t1 = (t0 + 2208U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)3; - xsi_set_current_line(26, ng0); - t3 = (8 - 1); - t1 = (t0 + 6254); - *((int *)t1) = t3; - t2 = (t0 + 6258); - *((int *)t2) = 0; - t4 = t3; - t5 = 0; - -LAB2: if (t4 >= t5) - goto LAB3; - -LAB5: xsi_set_current_line(30, ng0); - t1 = (t0 + 2088U); - t2 = *((char **)t1); - t8 = *((unsigned char *)t2); - t1 = (t0 + 4240); - t6 = (t1 + 56U); - t7 = *((char **)t6); - t9 = (t7 + 56U); - t15 = *((char **)t9); - *((unsigned char *)t15) = t8; - xsi_driver_first_trans_fast_port(t1); - t1 = (t0 + 4032); - *((int *)t1) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(27, ng0); - t6 = (t0 + 2088U); - t7 = *((char **)t6); - t8 = *((unsigned char *)t7); - t6 = (t0 + 1512U); - t9 = *((char **)t6); - t6 = (t0 + 6254); - t10 = *((int *)t6); - t11 = (t10 - 7); - t12 = (t11 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t6)); - t13 = (1U * t12); - t14 = (0 + t13); - t15 = (t9 + t14); - t16 = *((unsigned char *)t15); - t17 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t16); - t18 = (t0 + 1672U); - t19 = *((char **)t18); - t18 = (t0 + 6254); - t20 = *((int *)t18); - t21 = (t20 - 7); - t22 = (t21 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t18)); - t23 = (1U * t22); - t24 = (0 + t23); - t25 = (t19 + t24); - t26 = *((unsigned char *)t25); - t27 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t17, t26); - t28 = (t0 + 2208U); - t29 = *((char **)t28); - t30 = *((unsigned char *)t29); - t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t27, t30); - t32 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t8, t31); - t28 = (t0 + 2088U); - t33 = *((char **)t28); - t28 = (t33 + 0); - *((unsigned char *)t28) = t32; - xsi_set_current_line(28, ng0); - t1 = (t0 + 2208U); - t2 = *((char **)t1); - t8 = *((unsigned char *)t2); - t1 = (t0 + 1512U); - t6 = *((char **)t1); - t1 = (t0 + 6254); - t3 = *((int *)t1); - t10 = (t3 - 7); - t12 = (t10 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t1)); - t13 = (1U * t12); - t14 = (0 + t13); - t7 = (t6 + t14); - t16 = *((unsigned char *)t7); - t9 = (t0 + 1672U); - t15 = *((char **)t9); - t9 = (t0 + 6254); - t11 = *((int *)t9); - t20 = (t11 - 7); - t22 = (t20 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t9)); - t23 = (1U * t22); - t24 = (0 + t23); - t18 = (t15 + t24); - t17 = *((unsigned char *)t18); - t26 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t17); - t27 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t16, t26); - t30 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t27); - t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t8, t30); - t19 = (t0 + 2208U); - t25 = *((char **)t19); - t19 = (t25 + 0); - *((unsigned char *)t19) = t31; - -LAB4: t1 = (t0 + 6254); - t4 = *((int *)t1); - t2 = (t0 + 6258); - t5 = *((int *)t2); - if (t4 == t5) - goto LAB5; - -LAB6: t3 = (t4 + -1); - t4 = t3; - t6 = (t0 + 6254); - *((int *)t6) = t4; - goto LAB2; - -} - - -extern void work_a_0883098610_0495709306_init() -{ - static char *pe[] = {(void *)work_a_0883098610_0495709306_p_0,(void *)work_a_0883098610_0495709306_p_1,(void *)work_a_0883098610_0495709306_p_2}; - xsi_register_didat("work_a_0883098610_0495709306", "isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.didat"); - xsi_register_executes(pe); -} diff --git a/isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.didat b/isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.didat deleted file mode 100644 index c3aeaac48849fd0ce9995f557021ae6bbf72225c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3968 zcmeHJJ8KkC6uxTI_=rYP@r5WPg3a#awb>0822EinzB-vqGQ;i=v%Bm}ULY7Hg@uJ; zW8p8bik*c>AU3vEDJ@c1T8JnH*6*8{lbs#MH5U|&c;LI|+;h+Q?wPZ9?(Fl9rEj@4 z`<8;d+sRR>46v|Osb?{)MRxx-rEc#~>JDO;fK{Nq9lA}aHuzUye*vEEQmSjWQs;px zumC&)7J(ID6`&ZA4rl4(6~v4t;$H1hO7I5uhX{-Nz_A}SMVN;sN~nJo=md{}`d*^G z_rN^z9smX~3Q*`1B^kF+J`Y6+(3P$?y69;QN4vdqUgmJI5r5RTUsB1t`T_~;EAMUcil3TX8|6djrV&2p z5>Vid8a+m?P|&Ml%=O1BQ)OQ-YgRLUPBXsj zIJP%qRW++B`g3|2b47nvFJq`@P&Xm3m*CCl#ZTyk53#q`&|7mH=W)t+aEMbx?nK`I zd=GTzLiw}*k+R;bUC*72y(iZl&o}8a$DC5enRPN;pQ+R)vX*J&^L<7kpX)J9qrWgP PXcYR4T*h{7%LnOq+J&Y- diff --git a/isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.lin64.o b/isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.lin64.o deleted file mode 100644 index a84c764f721479b44eea20a28aaa12c010b8652d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5752 zcmbtYTZ|i589ugmvu+w}6VejeLW~$8N08a^eTI~1XV>1$N+S~5T|jEm!D~-;NAcRL z@oZV5peAyOc9=Mg1V~kR$wMA0(T7$D3xU*xT)I4l@Jn-i&Ue25cJ8|`R@LcfBtob}$N}>27DWk}7-Q;gb%N|9NuqTB5LbFdM3mka z@n>V8-n|yTDt}e}iaaOJ&OHkniejJSM8$E{F72jDs?+4enbX%ZaYAOCDtVhkDd!WE zqaa5)ef@8>mwY7t~eFY8#Mt^>wc!3<8XpHHEcgOJRGIOEKbWPj&c%4dM>_0yM=#2rwj zdrf)nsC+~|DnBo4SE<1#sX!bwxr+U_K_hbak7M$^cdNFWZE7;v-z6sd z7OH!u6fc`({|-fx{Ts-D_&f&YyS6z+PtovjTD(l$P||)V@rMj0KAr48Emqb}aw#^G zhgOG73NRgBh(BOl(s-+ezK+q*dFM)KS=G=G2R zpRhvgBit>;ZgLx{-Qe!1Q2W4J*ng)pyK{3qdJe&5=X^IlLWt_@b0^IH-Hh`sZ$8yt zgG;GBCj~6k>AnUm#ePq*uc!=3v9HUH#qH>R7+*P{^nP}jS$H^AitR1C_3j&V#W_cC z9W*(?-4M9DCU6yA{V4`;DNf_N{U&Df8_ebwW&+1dO13o*nKWGnMn6WCKH*nqjEwxvrSHj-LXpIR3v(DdqMXfJkl>bL}` z@y&L<)(nDXo8tBM(vsc+^R2d}^Dy@NI##WI+*i;SwPR*&Nyq5_Z%Km%yl>&Ec|a!o z)&Z3bhh@A&#GhIOh(@mGBej~-TT`1-$KL8#OCDg1q?49kDF zpa0i=BIf@Q_^3Y3AGb+x?os6xe?wlx1|z}vu^o&Z>6ah%lmy>6`uP}qLH{@>!~EY# zc>3o9#)JMn)?kUe5%Q<|gFWbPxRuz@NRU5lgb^P;$+3acjJW4i#N7d2M0ET=1I;MJ zlko#}?;xmG;ET8`*6X0-ra%+<_xy2j?-{^wA0d9-A58ad@l+vB+canyD`s9ZNSg z@0t1l1kc<0iluj4Vn?^M`ig17yGCmoE!_nhrtt#k9y3gM7F%Ym)zOaCpt{^PEtdeV z*``)s)a%DJ=v~mY_A$+9HS|@2?_ce(mMco|AQeiIL=0WmaeOurt+O((<;#UEJfw5E zY+fjrq;e^z6$JqE`C=|ir67vsl30*RC7}SX@m!(wP&&mz2?8mX#e6|1=C}5f6UtIn z62+1v79}AM5B@FPWJ`s-B#Dxk&xt~@ED0r1Vw7-!)0{|6BN_;>FXygMk4cL)le$oRydIR5hk1?}MJMe#idf*>ESM2a6k5Cr*n zFH#)OR}|!b96pNABM1`YH$8ZOf5yjy{PQ9F_e1!94&grz=L8DIiFXN&bH9%V{Z<)1 zo7Xaf<3E|Govx4L{fuKvafjjK+neG)W^mTduNfTw{-XSi5c~rM$G0oxk77a~!Mu__ z9-OZU24~}WF$DhsgR^=4kipsb`wY(V-)3-@|CoO-V>i3r_V{=({(_GO$A5z1<3Ho* z_~;CdYo6jg22V5iB?kXAgKzkFFwVOSpItu*3>+jF=Mz4TKWv=)8Jvx?&fsa#q4B@U z;B1^gaRrwP#!BBk^qoX;`c9&EC&lUe!n5MN%kdRM_kY^&K->6H5&a6qshyVsIQ9El I0H<;O3u>NSNB{r; diff --git a/isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.c b/isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.c deleted file mode 100644 index 77dc387..0000000 --- a/isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.c +++ /dev/null @@ -1,157 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/ise/gianni/IEEE754Adder/ComparatorTest.vhd"; - - - -static void work_a_1038528572_2372691052_p_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - int64 t7; - int64 t8; - -LAB0: t1 = (t0 + 2784U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(45, ng0); - t2 = (t0 + 3416); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(46, ng0); - t2 = (t0 + 1808U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t8 = (t7 / 2); - t2 = (t0 + 2592); - xsi_process_wait(t2, t8); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(47, ng0); - t2 = (t0 + 3416); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(48, ng0); - t2 = (t0 + 1808U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t8 = (t7 / 2); - t2 = (t0 + 2592); - xsi_process_wait(t2, t8); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: goto LAB2; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -} - -static void work_a_1038528572_2372691052_p_1(char *t0) -{ - char *t1; - char *t2; - int64 t3; - char *t4; - int64 t5; - -LAB0: t1 = (t0 + 3032U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(56, ng0); - t3 = (100 * 1000LL); - t2 = (t0 + 2840); - xsi_process_wait(t2, t3); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(58, ng0); - t2 = (t0 + 1808U); - t4 = *((char **)t2); - t3 = *((int64 *)t4); - t5 = (t3 * 10); - t2 = (t0 + 2840); - xsi_process_wait(t2, t5); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: xsi_set_current_line(62, ng0); - -LAB14: *((char **)t1) = &&LAB15; - goto LAB1; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -LAB12: goto LAB2; - -LAB13: goto LAB12; - -LAB15: goto LAB13; - -} - - -extern void work_a_1038528572_2372691052_init() -{ - static char *pe[] = {(void *)work_a_1038528572_2372691052_p_0,(void *)work_a_1038528572_2372691052_p_1}; - xsi_register_didat("work_a_1038528572_2372691052", "isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.didat"); - xsi_register_executes(pe); -} diff --git a/isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.didat b/isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.didat deleted file mode 100644 index 10e428c6c3fa5b43db756912b35b1eeedcd2bbf8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3676 zcmeHJJ8#oa6h5WAp{OuGg$i`2#DGW~r+F0#6`>AHEFA%3VvAU9>d1BjL_; zkE_TC@L-q7QVh*V9_tr*zemK{E3yo{1=fJ2-68?>8gi6@N5DF8c)!R5Fa_KM?gPuf zOCTi0qiq@+fsdB(TR({W5t`t`huU~GAo2xRk8tgX2vI}*tH1};Gz2^WR)Ar|jsi;u zM4AAJI)&t(dUY;&Ul_5ma8IBWg5yG2>B!N(j*j*;pSV9|lH%=M`l{m6thA{XKEt7^ z4*J$&0rXQgCF9YC=aZ{?VZ z)NBy-eOOLLD$dHxCV7t1H}Xr%a{wnZwAJUMFIC&8rj zB^_(C|LZS0pn9cT)ORXA#~-cu|wqwrDI@v3elar;w*d@!dz3gST-Y`8gaJ?zp4>I$$9s;VJ90793ExTsUJFX{= zZQDk}&J`^lBP}u$x2WgL=Kf!8+((i>PNJ@ zZBxXflGT7^EcJqrIC03O;sD1=m0BKExPruiqfaOm2$cf}0V4eW?2J8`tOJ5y+MSti z{@47wGrMo+3p3$RhzJRh)1*5Rl#oV3FosTv`!fXFSFi9JE z^22LF{ZvQ2Ssyrn9wC$QYc%vLZ83YEP}g)=n4a#Kw))@N+1WwIw0R*BrOk3;fY$HD zS>D^2_k%*CBq!f~cvtd;=Ck086dK>(9qoF(z4!=f&E{xxGPgy-EEUwzJ38H@8(Z}A zpI)M&E&9!0kh%r|_N2Zn#YuL2w3IlJPMlOn=U>G+*(t(s0&5U0G)ue?;0VQb?MiaG zR#`J0)2lfPmg^bFj3sMTx7IBk=daY9i%HW+r^a&GOfEZ-F*0KlnUl|_Q`wAOvP-5% zlB>0fm9$+exni5us-1i}pU+QZ$EQjq%TWU8msd*!eHuM{`l;=IpPimQrH#zay?R_5 z*T?kIx;65Xlc0M*laZuc+^L$qNE(9_GcqFGj32-0FGRX(`mBU9mc9_O7xT_2&w8Lzg zjD-Dm02*{9%8SnjUJj0E#~Ut9=@5F@6RvSyDXyRT)BG>6P+_8uOF)C-uk-jK4#qzSm#`Q74PY2whgY`~`j=Izj8d!Pif@BCa34J$u&wD6pVZ-{o+V+lxlcAIEC$ zsQW2TbdgVDoI$um{-XZ)OoHM^;X{uyqy$ukzEZ#)_4>%qI{{M=FW5^YFcZu#sKUtm zgWLmGPcuUBoC>iW;G5v{KzRnPFwBgw5h1sDdluRddt-ed>PBIR`fVTk-w)o8Nd7ru zO+@muoAX0bhR~0&H)S`(eM$n>TV;IjdJ#tme~3)Szq!y1LjFR;y74_0H4$^ze%yoK z>A}D4!SDCrzxUvz$FGH6Bx{zQO{a4E{(%^4Mw(PtQvalsB6?w(-O~H3+Ev>l#%y8! z%v8acpP4zIUoaM?&J^+nS$A#2u~ux?vmC?!o7#ooFRXaUvs|X=TAoq7#_>4{ z{uuO8a0eZQOYkQVf}kIKO9X!!AqeU}4wv9_2tiOE-&(;J5rUxp5L|-$@2k(BkocR> zM^XK}v}=rvVTM3a-J^dYTRp* zzU=1%iOX>}B`)iKC2=_}F9X}@7`x4ZILFWox!C`Fn(5=Iisu}P#_ql@>I=#74l>yf N{?DKY-ex00{swj8Nyz{J diff --git a/isim/FullAdderTest_isim_beh.exe.sim/FullAdderTest_isim_beh.exe b/isim/FullAdderTest_isim_beh.exe.sim/FullAdderTest_isim_beh.exe deleted file mode 100644 index c7228956f8d8dfc875103012fe1edd09a029a138..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 49951 zcmeHQ4SZC^wZGX77&T-AqN1gmXQP5**zb=j?JjI!V}l~Zil3X1EM#p+@{$ckixv%0 zu9wxI(xOk=ygu9KM=P`nk3y9oR?u3Dmey!n#SiR8P-Fd~sO0_6d~ELC?1lwFus^P5 z&&;`J&YYP!bIzGNbGbh#EuU=4$x-BGQof~7ZsjmPL-Ail8FLTI3Y=HTQ~b)Q%4lUM zFhlU?XLktll!RS^wS;+s&J37KX_G*h{5&DxXr4n2{E`w9r26_VzesX8ZVAsys3=7Y zLFGtRtGI7nQpxZVb{)mC$}RzAITDY`)rxYpqMU@CLNEzs`>1X7H(IoBw8@Vg<^2Sd zAp7lytS^9@wmnuu>B4qSP}uHg2?=urza->j%0(zga%Ou;Img#UXSto@>uS!ai`KWa zp3~}epW}9xG&hvknf!%dNKKq_!NrWV?2i%1k(?v(M`KC%fA_2P>Y2xXr}LhVh96yZ zhqvtb^>4gF_fE#2?0>bZCTB$Mw6TOc1%IdEuk+2@uV4Gd9rGua{(0w#zkH?p>L1)Q zzxzLDj;>kS{mgIT?-#uNr}qkS&RDS?q!}+i?vPBz>vAB{;TtpHZ_I%INe29?4EW8! z7vax%y$D1)d3I&MAB&DlM?WqDz7+T(W2MMnnt?u+0e@=-{Jk0Q8#CaeP?&V`zm$Rg z-3<7DWxyYwfxkNgepUv2C4tGrnv_X*f88!1xlf7Wk_tixHhw+Z>%6sh<9g~Oa8GAG&`i!_CsTV|okIZah_!;#iVbxSPL zoJvp=t*PRYG|4j2NCa())r9LB=0vN*Hk;d-6eb2Co1 zHBEuKRFhY6D;Trt#%nP^gc1WZwhL%n4wqe-Q4tK!s0dsT3``FSSs+wdX=!PAnvRn) zrMzt7q_DljUgDB7W^jmUle{RMljc7nApA@a$obiFa`b2lcHR>V^6so8G8S8$%u@WP zP=`;3BDgh5hyR9-zDS2J(&5MG@L$*ALjq5{VKS1}G#y^w#+f=i`Eq$x>hLmlp?kGD zygp8A)Zz7UN~;bpZ6fhmpu?l%lGh>~{+J|IQ95+^V|DmtI{YvlzEg)U(Bbdb;VnA+ zN*%sXhhL+^57*(lba=i0+pNPMr=#DZ!&6KpuWlXwc!>nuro*40!zXn36Lt7r9e$J! zze|TdNrw-$?aWK8gN7u|hdLyNT%#zVwkPv8_PI>A6e)eD=i=9LsvjxJ*HX!zZGE^- z-+eRkq*^^&SpJ`sCza~yV)?fzPpZ_jlI35gJgHDmC(FM~c~YI84wip`@}x373t0Xc z${$7fMwWkq@}xRFl`OxO@}x37(^&r3lqXf`@w5DclqVJGv9kP6DW6aIB9_00@}w#~ zg)DyuKVZ zu!-V`#3hjH!;TIb!;m&)YtH}ZFkNE`CMRK(Za^!ign z?nE+&s=NH@jk9OZF0q`t5KW+R6S{9gJydUO#2SWzY&n9S2G3FcSVa~Dk;64GwT!xXiwe!*{9{c+rh|Ly9>U}N2w9a%{G zQ6uIwN|=l#7G%db1KA*qcV)*o1DT$&e^IP>C;EVzKpnsuK7&%B_>i+;5BrLHFW<=2 za>plYND+4Qs#|vK6cl4Hqd~ETjN-;MWC}M*jtMzkCM0t=*wX@t|(4~ z)Vku{aLfoR6=g=~#+_p@+d}FpalCbLXm4r2`?OOo_TkT}aiguz>+sTh= zER9KgF3a9<{Zy?4m6G_{D2)B15TZVk04Sv zEK5Lr61HeNNT_D0ZtiKYMG7V=8G+^0O_qhb@oQdA6$j0aF)`F#XSY+r$NK1cwdY0L z#6a?aI@^Qt`ns+QcY_+v>zqR$#4`?_Jv9=CHRH)b1)yRM4 zwDq-r4yvuQu0Pb;8e+EfF`DQwvG+5ulxCmN#L}cN8cZ@e94BTCGqG`QV&l8$F58Au zFNIOw0HYkIsmgI7Z50uO+Ma@mUDb)CdP+#+g6yZIC4Px-zt41+(kYg<8wgn1bt#sX z#34)jAz&yT=ax3UjIbxE%bz7I7gIY$Yp1Mb#S?1#Z;?>9P_V?3*K<3&l-i)33_Dv6 z5%ik-854>1hc+B4%=jM*&aC>Oz)-(uX=hhaL(pT)&f3Xzx0Cdi6|@M4{7l(22=_iF(!F*Pg_L;9MsOhyr*I1#+P{no$(#fOX>^`yFhYwj%b2q z#ZcHvSm?2@Arj$6nTZLboDYVps0Jhp7Ui4HWxZ-y_%s-pmy>ib%uH@F%#`4rYKd1M z-Sblr7!B+}P}x;nWtm298j2~Qs8>N{pMuJ=LE<=c4YeCSA1d2U!>x|$SK0kCx5O{8 z_+1)+rIaqix-uCYbOT`w4wf^W`I^eQE)-wIRd&@fkZMC!rlW!t3l6A*xxz{{L2y9& zD}n+)m&+rNQ#TS>Q^VrfOJ@MVOzb0LCWbHDx%66x>@Z|OnmzJ<4r?cDVr32zT% zc>BvYNIwp7c)JEd=#{qi&Ez~ftioHawEGg?-tanb9W-G0>D7liVE8u(ZzsHR7-(w z^w?$F&+Rp0l-TxXrdX6tG0@^k?}KPghJy@RoW#|RR^wt{jd*CMSXMlv#y68-Y)5T_ z*iGY4@#)mU9@MB;?T7zD62w_)+D&6zX*Z2ptkwD@M1vVx! zom$YHQ;5@G1+}s4bON>>XiPOL9aBhbg$$&JBq!}6#7R8MiX7T0dnGvbt$_NW*o>gA zGj1pB0xr|J1?ntIyajxFo=0;3wiEWEkW5vfsMr8wyTO}Gs4l6(bdYAR3dxQSh_g7< z_D$3i#DbtWS;G|ai=C{w@K3}v<5`7A2T@3_I@n9&3dwkAqjVd+)YD8Y&uyoq*fV3h zsBELR8g=Vc^EeE;eo4ku^MD+vq0OT#4%BGuBveqN4Kc2oXAM-%sV|^aDHe69P(gNr z=37^asFv+_FFYDG52lIN-`evQb(3D#*%r^iK0&h=4gRuC>i%y>#J3Ep!3V*lK7<R={y{}uAHKMbmn2i2q&K9{PRUC1B)CUy6-gPPP&FFDjE z)ys}~ts;j6k529w=evT7+BYFJ;3VySTGswUwsMP%)KkS9BO4I!#>v-jqO%r=clEIW z`JQyK!TrGF)U7t8bb1krcqwef(dqp=e>?W~Nefq|miHgAp`IXl2!<>{c6wDDV#VoH zE95U%Yx6Cums3YAnmH1rB(J8ZEKY=*rDo9)GV+$%NtP8`RCZ*QPKP~l{nhLQZk(Ee z17)u!><~k5cwc8?rFkmGDxnj&G-6rBvIi<~9si6`m6@5P^R@pGiXUHjaf&LB&KMnt zkm=T*e^Cn%9WeJoXU15xk&F%$e!e!t-JBguGnKWhjOnKHoE1NIr zDDRCBL9f2Hr`W98MF#zFI?79Lt?k>x;3-cEvrKI{a+LP~9p&Bd1nI{iei-~LgwQMP z{Ef+ZbXYwM=1RLS4}y=rJH3D$Znpy%&JFNg-Xi z+P;hd_TUhKF%FWm@Jc(W|JAfLpfJ8^u_%dyb`b&Iv?$kp*^N|L+wbxNS}ER+W-$~P zb`ddu@gg8!yy!^c@T8Oo)XDkf@yB|R3T!%x!t#I`&Mk{ip;zZ9rsWcp#V?^Z;HFUl z#NxbV`}6#`T-}|xZ+&0iRJ=ErJmB65wlL%`u{8nfAV@zB!5rR<96qWyiAbX!fUAhqIfOaa2$zw>fnA{ToHA?I_s$6@l6*wNC zQ~Owez62ubr%s@YSe&TE*?Sb4cnJ?(I1?q*6VwZG)ye&D#-U5oy%|T%M6VX3VLj}; zzP6NFhkkVu>g&|tWY>=T4@Fr^Z;n1b5kH9q5UA%8P-t;#nq|e){Q0@YS{lza;|)N% zq4Fh6T)xRrOBKZVI!kS@M6O(A4Tk<~p?lgLeEP9y9ML%;%eCLoo6>0B8szxPAf$Qx zWe{Sie-m%WO(1@WM=8 zMPqU{9^$ig6<;J6>Y%P;kIT($5~)w)HROB?if+S=&T2&A4qlD1}P&B=GjDaXFDXvq^jmABj??K8IONEh|^~td0lh^^gQG+Nl2L z}FUdezk<_$3}+N7}_kqYVGhL2|NFXJNj+iXJFeSBY6p z{RZUUfrO@XlRRoWpXKZ+1^z_^Hp~CW2-tg48Xnl+2SRNXd%0!Rd@3ZC<)bVsR?$6` z>5X`1yh|eVX8JBQc_ddUe+X3~@*cW>jeb@mKa2{6@RC|ms>8M0b zo}E;fy`*zL66__N6Q{(B-z?3+znnqu>X1q?Yk@SiK4~CGZ7d7l##A?Rb!w+RPBjpO z@wK-SC3}3;vo5n`=mZr;oRkEXfjYC_=aX#GI;8jcB${!mQu{d$?IeQ1eEt?9Hu!u} z!sN@AL@=1o?;>LM3cc|8GpPXieAcr4_4zCR&*1Z^XE5W_`h4mq+{@PIlgQ-r>3ufo z^A{s6eLfpvdJd=a`3rEL`+RC2^ZCmmqTUQlU6bnbSv7bT$Hq2WpHI!iY}fmIYMsI7 zi>_UgGynlusl;LkBz!(Kjrsg;l9siU8vwdt^!bfcL5cx@&!+}Mf2gK%Z7p~2Y`;CI z{fC%jLH5rCP-*>KKVvm&NSW(w4zLG-%)M`8oS8A07)9Q*z7_g z?R%rtOTxWV1;V|vH|=O_Eek#PH7{pl&KySSl%;+;x1EjrZ9`x~;nS(ko;>^*eR?ar zaY$v6CT4CeC@?%!KlkaKNXc27-ltO|j6Qu)5{KR;g28r>5mc=K7Aq5a1z@H zMLwPGsjC)Hww=-gd^$Ci`SdN2R6m&)JW8C5KAqJ`M@4Fhah69#sIdm0PE9rVbkWz- zQm6E9AeQjyTz>fUtt2yR4xiGjkp`dMN);rhG@IaJ@u1zo-8b9k7pi8A*r~KWVxRGA zv^M4NNjo))+;>r$H(`rGE?f@_2bf0=YWPP@^nn3$-~aj*IH$UA>YGgN`xzonao;o| zgSl^J07xw?3y;CCnX3!?$52uY0QIV`om9ob)-*kT&Fr(cfC?izlYt}abovKtXr2Dq z3WLw4q0Hp7m+^bq`s|e;KLG3W9*C%)=6A15_1UZ%^4T=D+4^iToAo}MT4(UtOlfZ1 zWJ#Ui-4N)@T&L4Nm4@c6fqceUPc}_I>#uwmU2uTb>BEWFk#+iDKAnc-tFTT#;TL4x z2l{kU`2jw?gCh^kI{mYUQYZT_nB+#EPM+-GuG618Rj$)nn7$oieJSg7HrMxQoqqL$ zn5HSQ`=IOeb3vZvI=xVE&T^gp+5_BP99gHcQ?DuuNe;(#dfxp8pHCh%lh3EAhI`ri z{4ScAUwobZ(f_8JfuBKS{j+&i%|TtK-B${6`Rsi&G}!3V$t5PAy%WJ(eQ&IdJRrF_2Ur zz;`prjXs^#c~IBs7o<+<;Sl3MuhY5v-j{XyLqCN!Wxr0J5ArP6=@${_?APh15&4mI zI?Z&$vvoH68BRQV8SL3Q`O=hU>(j_weBu4{icW*i7Bf2Svvm>HXX~>`e*O7Jlzr#Ac{@(OH z{qS3-S3pwzWY1krk{f+G#UclHo&F3yLZ>@IGXY|KDeH7L*Y|0i{=_|)rrEF4?*w_4 z>-1W|Im>mrkH}LTJq^=f59DFgDCj-1pPu0i9j!)FeEv)zzW9E6!>3sUZU#Evsr*srz9q4sBci;Q6PB-6)X_);wed`_6ckC$F-uBZU z0O>xg)2}1)6!%RdGMM}RV*BahJ7jU!5`2^mAKTgkkZ@7+;u9`7rASHKO=O7%HS&t7^D2W?Tv^@SlAuOEA9S5Sn-&0ZGN$pzWB9~fAx&F@bTl(Li*-h;$E-`X>HUr$cq%U zl{YPVqG;Mk50G4q`B2?P!eAN0g>9V&6Z*D8yHcVvI}0r{%VlYo`}N>T>n1_1`AJ-(aQJb)yv_ zZ3=qD?|n)!C3XT$G=8F4&uKQJYhm=nq$EBP?C4a=Zr%Gu`bPDbvqG{$dsZyE~ z^94;A(Ok%Bj8e`NH0Kk|*+eryN|!-OAD8kJA?0_d{C_r8Fh-1;wd7Kw`9Dr$l(I|ETt+l^63qZ9musng`QIQ#U*25E z`;xx?p172AH;(u$!Tk!Nad8@>l;09GR}#%|PGgkvWI=Nk(Y!cFabvO%wHaiN`n6)niVK6ZQCrrjMA2)@G>ZGnF;nP46|J zo-|*Y(|?V$qvHemTB!bep~-L{6hG(t1?Y(Q=`jL^;DCh=Z9Bc?W48y?&+*;D(1y>= zW$KFw2MN&rPHeR8uk^iIoLMW!M-A2Y0(~R@7HZpwZ<_9CdOHjEEX1U9!R+&-A#F(S zup)e{b5~#Nq;=LMmG~Sk+yy?9nuqVKYWQC2uD+J{$!FpVs5}Nr+)n*PUX+3Y*e2a| zO+(Z7!c}3r-Q~5~ZBDB@Yuai-Y8aO&zI{HPJ}KTX zw=r55sVTvSze@;5WykBko?XJfZZ7I(g%K9>08?#my@v_pRAw+pLSP7 zThsEBU9t~yrR}qQl#3e9WXt)Q`P^I#4aG1Ep*lrKkEUS*JQ=#*0T=+hAFvs)3-BJm zZop>&djUTH%!7kG9hRX8@SA{Mz$*b~0$u~y2)Gz<5#Y}OI|0`L(&s?`47dgGZNLOz zF6^m-;6)=t4LAZmhQ8Z64v>Pr&48N$&x7ku0Ime21ZJI7xtijz#qSZ`T=LYkNN>C zK0^I4a`OR;0I&NP^nkkn8v%w6YI#wgIDy{{ z{5J@RZfG-Ic#Lca$wi+;rgHl4-4nhJ_&x(a!Y>5=vo!Sg0>38>{iDEtnuh*a;EnPU z|2KgrdG+$pn0*F3)q4xlG;X)kxZOtM7SEknFlI50+s#7*1>Ux!uI2-WTZVyS;}2VK zE^Npb;X`sR2A}J|M=vM!_kF<62mW4?ll8aho&wA#y6FCsxW5bcV}zIitux(hZp*D> zV?y`e#Qk#EwsiN$;eIY`Pbcm_3_7molZFkgYCCH2(D;zsa&I@A<2lD*S};u|dMD^l zfsM+Rz6SL7!d5+^=U=Pie@80+yFh;`Y}%Gg^mnDwKMDHvSOsS*&xfF&02`SteQ_>q z_TAabUjh1WVS_1K`4@uzl^&!eEf;=i+}e-rdC|4;VvkA$zd5~sehl|Kmj&+qT+ zTcRH?z5e_>b-ZGrzv`jBz9%x#uS})C5A?n8N!jw>3i^xSyRzk<3!nD}eB3`X$@6Tg zJWkO648Aa1`Wn#BfzQlZ|3UvYd~3G-|0L*dg%5ridYzoVrYX7!_F}RDG=Dz?y%YZo z#>vo&B)xt^=TyY=!6!ha#?o1V>H{zpMS zdQ0~5zX|%GTeFvcBy4NPi`mN`1pQ_B7vi(+{}||VU(H_r`#}Hh>)Fe{74)rdWhX!R zom|9R!M72cA*}=p3ajB}t$l_o!a z6@|KluEL>y1~GNnwbJBg5MeO8J{9~$SgVW@czx_fD4LRVS?{c5Q1;Onk)Zyg3sZ(& zCkohmw4bFUzE$M;s6gKY9lDrZvVLqiu=dOp^yo&Gm;HlbWchq?Ulc#&pcV`Lbk}4t zZr>Gfwt({lyjj2>3ixvYR}1)*fPWG2Edf6kaOf~UtM&u|&k)cp;A8>6E8uJa=LvYT zfIk%Q=K`i{iyk-5!s|V&ki&}vY!mQ70skhTc{rzY30OOi=O1q3@UD6e*IvzG*K7`l zR&%)XDh@|n&f%y_IJ{~GhrtUuy!tyF-V@^RAEg|gFY5hhBF~%s9L^Q^zyzLO`ArVr z^>BEli^I5`!e6>#`z{Qh_W*NbugyMPZ0x|IUgKObNcN9eqZpp zQ9#9TJvWilOL&LKuQcHIc3;VGb%}W;;X;xBsR6&Y`x)3PQPD05FBkb)2K?UcOa8Zh z-_MeZujBCA8#%Pj=WxoTN#86QGv$Jd#}+wDoF$$jyVYj5I&6-jG1DV8MWLz~$Dd>K zj8&A9=4!bLMMOyJY8yVbd zsHuuoDJ7BG@a(3lxsh;f4a&-#Qc@jjXlh1<99&(En^b_fqb!r8YHqX|wKsq#eo9zH zoawA)6vgx8xsm!9>(ejt^EDJE-!9_{#pFj0mq5_-2>m7F0Ezns5=Y@rmLF~MBZs(8 zAc|P7|0lOU$x=4_>dT*J@-zH-qCCZc`pZ~8h?{tP*dXh#H2Hy{c#Hw1oP%UsCgUH0 zRxUu|Sp3QID@}gn@Jv%6Dn$TBaU8W>mLEk#xM-)2NMv~#_Z3nBTr$q%x&Am)=C4A6 zVk}u+##Q+uFbfGn8COa=3BQN?6tl^^jN9mWEnP+I7waiNz5D{LT!#epsVpz!#8y$h zRS?ShjqP7(C@56f5!5+0Y`F)?n=hlqlg%n>}Q_ivdT0;6@U8j)_gyq z6{{$}fNtt8sXv7RcNd_({7MmjtsKS)*0ksfBPq*C>^(^6%gc2^Z5yX3kc0xt`X#y( z2`ZoL|298zh|fj&Wpq<_$^Mh5`;pL>FKqX-TZQeMg7!@Gm$ClUxT!Bc>J~pEA9V{a zHBv5Ecs4nHBCB*ELFL6XQE0=BF6$Bo2K1Lv{!Jj$m-mbE{w&KsXDB~Sl%FQb8|yRX z|70jXQ%HMfpx@94@KfvVN&YJ-DZDf8L#yM6TrB$$&D3gXFI) zFX6kmqc3k2<*lOpmSjnukmV%V(jtCADa#K6^SP?#cy?f>~4N#Z5j-@B}m-Rxb) zfa2vK`77Hk5%jMqlKfVQ5Kxvs$ABkVx+ENqw5*%m5IOH{hTWOubHGX`3KxI!&*o`tG{W6B+`GT z;&YSFCsOf4;OG2$6ib_-tCkE>!AKENzCDl=EJH)2y7NBXXS3Ow;?H1NqJ|3b!RgyI!`09kgCInRMUj9KF62=V(9 zh94;ZL%>tLR)fB606ty6y^#U`PsaZwWr1i{2zk0b#a|A_xARAplui0s+8ZYPGy{H^ zz!w?tCkTA+4^#OR34Eu(%X-fec)tNpd(2etwxyhpr2m${7YV;|Kk{_Zu{EMs4Dwtg z@P!8aWdd(C;Hw4RZ@||He5C>3BJhm{{0#!Xz<|F+;5!U>I&(nscL}^~@7)4lWEiiX z0-tW&e=F#>2zu!*o&=usN3NIm@NQGKGx~nJktgsyf?lq(zc28|z`3QXw-|UfUWR(d z0nhYStjpOme(;j@R*HEc>zyj-<$9hy;|F~`@Hi2pj5ExmA7sGa0ensxJ4WYua+HDg z@K?Z(K>x_+glzAS-*JT^5rg=7b0+{sEQ${mbeWOQJq;3J<0vprYbe}nOPzt8B`-V^lld9#$K7y&uS zIdX)baTm)S`zNv= zybNz(g6s@IFZV5E|KBX|az97fpS2nIY{`IsUGS0nGqPPL;$axc*?E(n38k`MMLAR8 z<$er1+ktzR15f%^DD+MGuNp=#3hO{S!w-z}HNju*r^s>d5_q|P^bNu5LxGq3RkAU&+jwfw+TLSA5H4R@d$0x z&7&fQAEwCtCaJd<3%uOFllrho;N`xR9LL85UhWT`Ddc~j;YCIVhT%cgaMN*0Xi23G zi?g-B7p1Y!rvp!VBKO~f zzuttqWLGbv7a2Xk#G!iSzUyvbe_R4D_eW)%Ge_X%zNNH#_Xxb)kCk>_sjjN43(uL` zP*3O6n_^0JQ>;1Gf)oAKN;o{J;=<|S^0FBf;V^Q+l-&7~bhm06!gJ~xW>wXN+1^FC zs-;z-qwRH(7|yo49WJ{wLl02$D;4FN2ZdJ4%%}6;+U#-;FSxY=FkCP|7J_k$qtX3~ixV#>guvu+xw@BFq zmCfpKaWb3LX|*cNkrE_qvVhfRhL&$wJW3u849}b+J@I_bK^gHkHh7&>&gvykAu}^ zb2}YApV#MgI6PL5%ZH)$=n9E>l)kLP=|e?cm(T05xg8F?Fru$7%ytRG;kxLo>Tq>) z3(vPUN5ipe8zbT7NGx0vnO)UV7Yom;s%wd4Xp_z5_Bg!`yVK?HdXaK^tUjH9=_TuL z6NF2 z)9rABl~>0neG#wQM|Q>O#He{ZDB{tN0@dBv)KDF1ZVq2lg?-rcOdMX6_WEq-BD>q_ zbh%x7t=DFEVE@@}vtquweJ-ohn?)gy&u4RZJzkF$9Y$N&PJQQw!?c4d6o(|oTQ_y# z>e@*4_rgt8^>ZTOhS``oHIdc~&9K{`h7K1r9zBRRkR1AEgu~bw9#ku_qYm9iL%ddx z&4!V;x%J|u?>f8N=CgV6pWOAT(IaQNI_JGv%ip0U1;%!xMRqy$+y%%3?pr4Yue zY1J*UNHcn#ois?#+6JC(ObeUC?XY4N+gt-QqDeC6ue7D-WB0hxk#?9a$mnz1VPkbe zkRl^vK(E%-HOz@t4xLn2 z)!d8$%EU<4ZY6EO3ybc(n^&F4c8}L?_c;g7OF5i#qxCShk?L>@op?zvM8BhjPqsVU zK7DtlFCv_!8^#t!-i=U4UxtQ2co(!@dc+j{h&423?h~66+GT|&hV8PsoG|M;HPiWE zc8VvxfF4*CpUnjfft*+u4;nl&3Na`wOk}~m-#?|iY~rM_y~JMPV%70_+$`vWq$`OJD6xOELnn9FNF7fzsOBa%)ugC3nc;M`azYC4==zB1I z36jj^bX(DQkJsU}LZfx9K@`;7fJm{vrY_UCdEgrnp*T>|M?NMc@J`XTrbu%`T?@qv z;n^+q)huvGAz(8zy_j~J&Es%7;UBS}LlgkRtrHWmOm*Y6sj5dWPlu<4F+_;%&^(`9 zE2VvBdhqFv=$%4$tBnkc-RpGh-B!b#y3rvvj5pS&5M3v3xa>m2el@VrEzOY{Hc8kT ztR_OnGt>N_B@TQAh6syNm)#0GqT`vN+u$MGcop7hw;^`Ix@xZ_`n|Fpp}Y-&@m`B0 RgDos@oOVS0K97Dz{6E)znBf2b diff --git a/isim/FullAdderTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/FullAdderTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg deleted file mode 100644 index 1f5a98472ea3c64869bc233c25e569c18d11b73d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4134 zcmcgtOKenC82+oG6{(8i0}FDYv?C(DGxQ~;BCWJ3SdB>m>w`?D_uh7HoSECqz0;H? z3JW)yuyLp9f(67xq6=ReN$kp)#>5Q^!^iRI|U*Gx9 ze;#x0XDy3=e>dWtxv{dcvLGVInnZ5gRma}DMNGJxM6NZ9oZOt??JXi54~s+(h-`tq z1GpD;pjHJX8wA5;8(^vEBhX8jhw>r}vbNO7RfGY&b&-a>8ygaPWqz}V8jH?4?U0OQ>%&pn}V z2z#Y4Ptmri7bn^_wS(LR3<5n&LUbMO8Nq$j!ZlE|W{<3M0pk|{&b2*eG>&7OT-IW5 zJnOVZNJcT?7;qYRQE_xXC?EI6z2kn-*M00)KF`MjY8d}M@cB8B7vOU)o{<*zLtAU^ zA;r`d{EtxMqN;NQ{zi2;7sb>T{4Y@BOMr3$pt)W@T-Sp@T3d)+#lS^X=VkaC)zSN8 zY7CPTDBcUr_2{>ed%^uw<>NO5u8e`(2Tg7Z1CTVAZy)7VaG!@JmusM;xqNphqzYEm7o0?1h*MJ55gX95da<(bW`)_i8fo}oL7}yC-?qf>xZkXI<_!h7g zTpOC)$Cc*!ncP3&TR8lBZT%jyzG<61+^Nla6y6io;Jd8f^;@yoXPX+!JBj#4Pe0j}WRJPe6l#L^jKcGx=yT^8QhnKb zdhY^BAD)>Tb}-ES^s8@wYq5(#*|Yu7v#0$+rQ+Mihlhvzdb$T)*Q?qiwNfdzPI_U~ zIa_qa4rU^I!Y}!iIXlPcU(-UKo^Wksnl&uEn0L`6bsbdD8{wZ0P5*_G_I7 zv$@{vp*aFY_1e;(>oL540buvCsQ>@~ diff --git a/isim/FullAdderTest_isim_beh.exe.sim/isimcrash.log b/isim/FullAdderTest_isim_beh.exe.sim/isimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/isim/FullAdderTest_isim_beh.exe.sim/isimkernel.log b/isim/FullAdderTest_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index 0832383..0000000 --- a/isim/FullAdderTest_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - FullAdderTest_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 51967 - -Tue Aug 27 15:05:31 2019 - - - Elaboration Time: 0.11 sec - - Current Memory Usage: 198.607 Meg - - Total Signals : 11 - Total Nets : 6 - Total Signal Drivers : 6 - Total Blocks : 3 - Total Primitive Blocks : 2 - Total Processes : 4 - Total Traceable Variables : 9 - Total Scalar Nets and Variables : 367 - - Total Simulation Time: 0.15 sec - - Current Memory Usage: 276.206 Meg - -Tue Aug 27 15:08:11 2019 - diff --git a/isim/FullAdderTest_isim_beh.exe.sim/netId.dat b/isim/FullAdderTest_isim_beh.exe.sim/netId.dat deleted file mode 100644 index 0ad1d5fbb916af90be538bd209a2afeb133e90a1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 60 scmWm4xedS|5CFmBvFOMKOilD3GORS&wupGSeIz=WL19w)d01@Y0X6Re6#xJL diff --git a/isim/FullAdderTest_isim_beh.exe.sim/tmp_save/_1 b/isim/FullAdderTest_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index 9a8e7362c15bc29c19ff7147ca1fc7fd93642083..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1322 zcmb_ayH3ME5cJ!;ihP1QI&l6V6$KTL0t%!kk1H&KFLIm^@kM+NzeC5&`W&BekU=og zo;Q1QGugd+7-!GPc)C@WcWPbgLM3T>kq(nnr-F-*=W-?ZZCAWb#Wxjae=6uy!cHY> z=}-fR5sjTG9vvzkn_^>n(y5@}kVnvNfGE^jFE?eT^GP|KE!8bI_izmy*K<9O-Bo0Gv)qvnVg67kFVLNerGD6H4CgMUTj|TWDPSqCwL?4GzZwSsv z7q*eZ=^@YnRH_CS;NYgqu diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.c b/isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.c deleted file mode 100644 index ae6b199..0000000 --- a/isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.c +++ /dev/null @@ -1,40 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -#include "xsi.h" - -struct XSI_INFO xsi_info; - -char *IEEE_P_2592010699; -char *STD_STANDARD; - - -int main(int argc, char **argv) -{ - xsi_init_design(argc, argv); - xsi_register_info(&xsi_info); - - xsi_register_min_prec_unit(-12); - ieee_p_2592010699_init(); - work_a_1130988942_2801528920_init(); - work_a_2258021406_2372691052_init(); - - - xsi_register_tops("work_a_2258021406_2372691052"); - - IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); - xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); - STD_STANDARD = xsi_get_engine_memory("std_standard"); - - return xsi_run_simulation(argc, argv); - -} diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.lin64.o b/isim/FullAdderTest_isim_beh.exe.sim/work/FullAdderTest_isim_beh.exe_main.lin64.o deleted file mode 100644 index 3c2c910eb203daf12b6cb8b9cb89bc54b300c773..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2776 zcmbW3U2hvj6o$ukp{5j*mQn%*$pVC2sabY6j$)shJC7r;F-|we_u}4@%0%nWXP@o@BPU zXf5UoTcVq9IAP2etmVs?A=!o4vDAlKEH#=-T{?R@`vvXU^TeN)ZC}CHa&w=gYq|M@ z%vvs={wz07pq9%rBe*pPoo(`=ANySu`J(R_p%{hC=sKapjO`#`hCf6Fa?7xo(HRZ~ z!Xt?{423~+U!e7LZjuh!Vwdmu&OpeS+`r3HDqe*LwJxf%9<4Fab}A7gyhKH3;Dv|q z%h=cQJ$sm9gjqnc`Pi`+$b)BOUAdoBpm}|)E7XoY?>FC~T#Rp1Ntzn}f# z{J%J#Sg$${mPtQ1rH|KU@EgR>vA-rNNBNx@`q2#j z$Nbe3P`)rjzd1wy#tc1M-|zQp-LCN4A_%z~xC6c|b`3ESeBijA(P2h&)x-~74$W1Y zfik|)ytYzn@@wnst$LfcYb(tL#|VMYB>aSBf^b%ciwtm+A67rkHwH#|ljFa30}sFNkK=6CoE~&-G}@ zVleb?vCVqD&RrdmmSQf7o+7+_FkV)@rZuR=aj}t+urmuXo?$w43{VCv=CN zdZ0KLY_CG=5kZu3~ diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.c b/isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.c deleted file mode 100644 index 7e57773..0000000 --- a/isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.c +++ /dev/null @@ -1,151 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/ise/gianni/IEEE754Adder/FullAdder.vhd"; -extern char *IEEE_P_2592010699; - -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768497506413324_503743352(char *, unsigned char , unsigned char ); - - -static void work_a_1130988942_2801528920_p_0(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - char *t7; - unsigned char t8; - unsigned char t9; - char *t10; - char *t11; - char *t12; - char *t13; - char *t14; - -LAB0: xsi_set_current_line(14, ng0); - -LAB3: t1 = (t0 + 1352U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1032U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t3, t5); - t1 = (t0 + 1192U); - t7 = *((char **)t1); - t8 = *((unsigned char *)t7); - t9 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t6, t8); - t1 = (t0 + 3488); - t10 = (t1 + 56U); - t11 = *((char **)t10); - t12 = (t11 + 56U); - t13 = *((char **)t12); - *((unsigned char *)t13) = t9; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t14 = (t0 + 3392); - *((int *)t14) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_1130988942_2801528920_p_1(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - char *t7; - unsigned char t8; - char *t9; - unsigned char t10; - unsigned char t11; - unsigned char t12; - char *t13; - unsigned char t14; - char *t15; - unsigned char t16; - unsigned char t17; - unsigned char t18; - char *t19; - char *t20; - char *t21; - char *t22; - char *t23; - -LAB0: xsi_set_current_line(15, ng0); - -LAB3: t1 = (t0 + 1352U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1032U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t5); - t1 = (t0 + 1352U); - t7 = *((char **)t1); - t8 = *((unsigned char *)t7); - t1 = (t0 + 1192U); - t9 = *((char **)t1); - t10 = *((unsigned char *)t9); - t11 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t8, t10); - t12 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t6, t11); - t1 = (t0 + 1032U); - t13 = *((char **)t1); - t14 = *((unsigned char *)t13); - t1 = (t0 + 1192U); - t15 = *((char **)t1); - t16 = *((unsigned char *)t15); - t17 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t14, t16); - t18 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t12, t17); - t1 = (t0 + 3552); - t19 = (t1 + 56U); - t20 = *((char **)t19); - t21 = (t20 + 56U); - t22 = *((char **)t21); - *((unsigned char *)t22) = t18; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t23 = (t0 + 3408); - *((int *)t23) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - - -extern void work_a_1130988942_2801528920_init() -{ - static char *pe[] = {(void *)work_a_1130988942_2801528920_p_0,(void *)work_a_1130988942_2801528920_p_1}; - xsi_register_didat("work_a_1130988942_2801528920", "isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.didat"); - xsi_register_executes(pe); -} diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.didat b/isim/FullAdderTest_isim_beh.exe.sim/work/a_1130988942_2801528920.didat deleted file mode 100644 index e695974ec28740490b27627c5801ad8dca2c4b24..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3292 zcmeHJJ#Q015FNh15}-h$NkNg?XB!)QR7Ap2h$z#dlku&%6N!!NO9&DO3JMA&8cP3w z2&JS!1c{%35Cuib6d+o6Aer~hJC0+YjSo?{$dlg9?(CbH{kU7*+u2XQ-Y=TF0(?2e zr^qqz#Zi$~AAj3+0fX}=MFMae{0z3iz2hP~Cq!mWV;pRO-$6MeQUI606)*x@;1)=< zsrd^oK|62tDt&%dMEMf!Hpum#rfC4@^8xJc%f+E7b^X|} zX9tJqe+}|IBeW#eq!gQe%eW(d-)9k7Z9**^QfQp5YxE>{6+}9 z)4DzVW`_NGmV^4Vc}D3+<=1y{u0;4@ z59ZQ!O&D@0n}QwSKLEkA<9*7zuo?MYGpf}5O2Zc?sIM6(Y#Qf&SgF-Q=XSYVzFsUW z_`Yd4i|ebaaVxVCq|^2yrPo?$R09c(G2TNjUv%@?T=tslrkcTKD)r-3>Z%vkQUx?q zsjFUnJxUdEBUebNFXD8^B5a0h`-i$>nvqABch3YFvuQFMepGKfa4KFdcQxylN^T+V To7;I^`RCHy`Ce!ZFY_iPE zuB%ooM2le>Er=kVDita8Ch_+XdZR+b(*`;dzZ8wgy_A`lOu%8 zdOOqo%&a$(OZP?1J3s?@Z~74{-RpYq%4JHck|2)}(*2v2bpLm4)8N{y$C%(391akF z{b#N9zPx=Ol;@pLHSehUZk$$ly>kRWJSxqb&m}dlnak*2BR8glmeoBsH?DhUa-!C` zrd~aMjGohGg(+U4=5??%$QLxMHKH`l`vB`o_eazHjbK_Aqtcq>X~D6-^zv0aBoNE7 zmh#gp&1R+1Fzq?haSeow1#?j_*GvJoUv1l`^EE?~3gU#SPAIY=tD;nt)d^V?8djs` z5|UqRFPVAEG4rRaTB~K{XRFm}xu{ULn*oH?#Rfrt#t?sgR@=-ohYmfR9iMsr`2MUS zC_*_ai;^rBq(XN5sM*MBHP^=vNag+DW)E`}d;KVhtz}|+ckfDGfL{mk$JpLDUZ+x- zdMZh>pencq`3t9o)a3i|_Y%F4^E=M%?CgqvpGqSC1>6I91E)$Vb1q&E~ z*{;``VbE@Kyxv|~GF#xj)pkt*&g_8W*6OF3fw^d$v};QyP4oXwS#W?i{mzi1Nw)q3 zHv@|j2^sUZ$v%b$+7aFPF%*~4x5Ro1HqhsPwU@va zL&-3Lvd-eeBQhX7f1chX>_*wo$9=QM2dsTALfn3i>EZm(GJ8C?poG_lVKeIdFJngn z5&a86yInAN{`~wcz%Z9^{0l5T=L3aGc-;UrD*i1NpU1)Y_rT!x96tjX#^-s0O64OK zU*G_{@^j z4_Nr@(L`h5r??16IDcG+b4U6zOY|b!#5kicc>etS@i&QzpSc${K)whC3@v?9#EiTV z^2^5d7^SI2rQ2BGk#U zDHNq*VcX9dW7&{M>y-F!B#{XEAv#IhQ>~We5@SX`e6XS$ho`5HRp*Sk%0azqkTu6L zZ1a@mxTbCRpJxLQeAd-hT+^XM$8?SQifzMZg3+{ECc*DE&Wx*&Ys#-3o%0#IoDkVvjm6A~u3uUEHD9YQ|6qOQqEtl1TA{GmBzy_0S*w!j! zebTbwL)5ivEyp-n19iD=yW2RWiIj_CNs$T#SqV7hPZG8-{QL0dU0+yRr9BVt4~{w1Ra;kr&RJY3f+ z0Y3Ir?tgU%em;N)^I>VyPJ}t}e#-kSnjy~nQ;?5cANw#$md-vG`CL?{AQtp9AHsRu FzX3(2M0fxI diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.c b/isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.c deleted file mode 100644 index 1c67586..0000000 --- a/isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.c +++ /dev/null @@ -1,427 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/ise/gianni/IEEE754Adder/FullAdderTest.vhd"; - - - -static void work_a_2258021406_2372691052_p_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - int64 t7; - int64 t8; - -LAB0: t1 = (t0 + 3104U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(54, ng0); - t2 = (t0 + 3736); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(55, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t8 = (t7 / 2); - t2 = (t0 + 2912); - xsi_process_wait(t2, t8); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(56, ng0); - t2 = (t0 + 3736); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(57, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t8 = (t7 / 2); - t2 = (t0 + 2912); - xsi_process_wait(t2, t8); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: goto LAB2; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -} - -static void work_a_2258021406_2372691052_p_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - int64 t7; - -LAB0: t1 = (t0 + 3352U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(63, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(64, ng0); - t2 = (t0 + 3864); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(65, ng0); - t2 = (t0 + 3928); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(66, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t2 = (t0 + 3160); - xsi_process_wait(t2, t7); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(67, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(68, ng0); - t2 = (t0 + 3864); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(69, ng0); - t2 = (t0 + 3928); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(70, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t2 = (t0 + 3160); - xsi_process_wait(t2, t7); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: xsi_set_current_line(71, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(72, ng0); - t2 = (t0 + 3864); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(73, ng0); - t2 = (t0 + 3928); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(74, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t2 = (t0 + 3160); - xsi_process_wait(t2, t7); - -LAB14: *((char **)t1) = &&LAB15; - goto LAB1; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -LAB12: xsi_set_current_line(75, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(76, ng0); - t2 = (t0 + 3864); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(77, ng0); - t2 = (t0 + 3928); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(78, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t2 = (t0 + 3160); - xsi_process_wait(t2, t7); - -LAB18: *((char **)t1) = &&LAB19; - goto LAB1; - -LAB13: goto LAB12; - -LAB15: goto LAB13; - -LAB16: xsi_set_current_line(79, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(80, ng0); - t2 = (t0 + 3864); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(81, ng0); - t2 = (t0 + 3928); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(82, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t2 = (t0 + 3160); - xsi_process_wait(t2, t7); - -LAB22: *((char **)t1) = &&LAB23; - goto LAB1; - -LAB17: goto LAB16; - -LAB19: goto LAB17; - -LAB20: xsi_set_current_line(83, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(84, ng0); - t2 = (t0 + 3864); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(85, ng0); - t2 = (t0 + 3928); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(86, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t2 = (t0 + 3160); - xsi_process_wait(t2, t7); - -LAB26: *((char **)t1) = &&LAB27; - goto LAB1; - -LAB21: goto LAB20; - -LAB23: goto LAB21; - -LAB24: xsi_set_current_line(87, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(88, ng0); - t2 = (t0 + 3864); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(89, ng0); - t2 = (t0 + 3928); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(90, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t2 = (t0 + 3160); - xsi_process_wait(t2, t7); - -LAB30: *((char **)t1) = &&LAB31; - goto LAB1; - -LAB25: goto LAB24; - -LAB27: goto LAB25; - -LAB28: xsi_set_current_line(91, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(92, ng0); - t2 = (t0 + 3864); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(93, ng0); - t2 = (t0 + 3928); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(94, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t2 = (t0 + 3160); - xsi_process_wait(t2, t7); - -LAB34: *((char **)t1) = &&LAB35; - goto LAB1; - -LAB29: goto LAB28; - -LAB31: goto LAB29; - -LAB32: goto LAB2; - -LAB33: goto LAB32; - -LAB35: goto LAB33; - -} - - -extern void work_a_2258021406_2372691052_init() -{ - static char *pe[] = {(void *)work_a_2258021406_2372691052_p_0,(void *)work_a_2258021406_2372691052_p_1}; - xsi_register_didat("work_a_2258021406_2372691052", "isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.didat"); - xsi_register_executes(pe); -} diff --git a/isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.didat b/isim/FullAdderTest_isim_beh.exe.sim/work/a_2258021406_2372691052.didat deleted file mode 100644 index 5aaad939cf51470707bceb381653e9155fb2b4dd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4300 zcmeH~%T5zf7{`x@inoNgRTDL+iJD%9wzR}c5sD(-MMbSn#?ELbl$NvwAue>m!liC> z;{&Mi0dU7kS!m)zXyOAHL%gK^|I;~m+Dse9)WqAD{N|kV-Oe}XGKFUw=fAudw5|%s z`!#$D(FtbTgm|p*)$K=C3Xxka#77W^e;V8c`&J3j4&6NZ3*df-5R0I5tq=oX6qsNZ zJO^`t((bm^k@vF;K9M@Vg$*Yh+PS@}W zg{i(5l>qA18r3njcj=v!kY#l%t}vevl?tEOGJ!1+15^4)g|j!h}+@j zX;p)iPVG;zs1fvMxVWxJn;bW5<(_;%hxcYq$-{M4hRxFakv6W=H$2pLV-p;4tV110V$k z!4Ys241r@{7#s&Dz)5floCasWS#S=Ffb-x2;NQ=q;1akDu7EV)KULR2sch<{vXM89 zqA7ye!nhT*OIGlvZRGQIa43~Z^+Y3mrfC&}gOj}Xe_VUOrMdRQ%khZq!2eWf`)xj>=IJl_@3S7!c}weWasrnZ5D)i{B)yb>BD04r|eK%`1s#j0`F57 zQp_3~G@C<%=S7PflsQAd>AWGDlLyX33}@KqH0O6xZRX;si8UN9BlWkfCWg6C5SNa( zlDt6Wi0`?msZ9DRw*n_lWdS&GDo1_JiYh-yhE$IEp1R6lEluErRR)!815TXEpZlB@ zRc;Qe{Dtosud)c7u*#s4UjiqrGN@#`&skCBlP#gO+~IrbDud`dffH64aP9$4SY=Sj zE}yfa%1>yY!h5;f_l#FL4xF&cK*1j1gjEKW-0O2zR5=z_d7tm8s|*xO04J<6P;ftR z;#5B1b5>OOJW*jSAM`zSm4Sl2z=>124>)luAM!bID&PHTX^Bsw2lwUoo;4Ttc3m_V zrn;^a7b;y}()ruzovUg0_=-AL`~3`yr=E#9R|kBKRMDiv@4R{D@)k33-hA_GIv@96 z=O6BEKOj0w`tLzlwLw3Io+ntf%|7Q_<>_DYN;jLgbeYYmuJ&TH($!(kpX)qJfyk;( zb-HKt$kbH6QnBmfw$rc}vJTjjhCOE+Jbi4Yez>P(<#K(4nOwFv({JTA4dnVaXES{{ zqf)Ju8bb6;&P>}qRmbi*R4vtN)t+sILSdk<*9{v^U>u#S2##sR#PpP{@HsXza#y;0 zZ2O*#>0YDP7)a+b*<5B*c2l~0mt9GlrG|&!nH|_jp>C4l5|8c@iMfu%hBd3&pP;S9 z_$_j-o7=6aj&iD9aKBgL+uEozoa#8yl23I$p3JAx^R3BzTdFgk>KG<&nx^;D!?v1Y ziruO7iI&Hc^Q|qP)3j%X^T>3gbU+wRqwckns#mwCN=Cz;YY2nZvLuWHjw6iv3=f!| zH3q}OEDhPmB~Z_pnkkp2bg(|7@bb*`v|Xd{wV8%((3;)pG)m>e(!rjz4%SQ4wiNpP znl!od67UO-HY5j+Y7=xf2-yZ2=@=7EcX+gJxt=`aJZgD6y=if~#OZf3WdG3es!2W9 z9W=o)zpH)%sTni^@MM^DDj#|g*s9BJAdRcR36UHH%t{z z;g1o<`IS!Ux;7{C8w!xES~t}mCtUTB`hxUFjQcwGS&7jNC}b~6|KEntK)-^YrcPA- z8Ckz6iCjPZ5m;9LTEg`2o?X2^m#xW66L-%3xyePg`|wQl@y~%D3PE%&D<5gtj!SgxhE+aNuhY-FHC3(IE?B8okCN}fYMrigje4o( zSO-f^!=0P0&y;P)v5u8UfOm#d_o_ zMDcHxIPbV00?zw^jrsi6Dn9?mV`Kh$dK5m%AQ|H~)1&Ys43g>m6CS*b{|CS^{!4&k z{C@(D{I>w--yWssKN8pL`U3bECndLzUe^Y|k)Hz`b#4J1`S${j{4(Ike*|!>i|@*8 zdR>16KF0Yw;F$Le;K;uKIPyON9QoG(NB(LSNT$CJw*roJ^-El@YXtb1_W{5$?;*gE z|7*aJ-vk`_e*zr&Cjm$PD}ZBN?@OE;++UY~k8#vbrT!jXFMrJS_3Q#1b!Gv__$J`U z-vc=E4+D;M{Z8U~UB3rD#(4p7%=;Hy2SOm-UB|y`3!K(y97A$*UNho zPb2>}z>$9!;K&~X9QpeI$GV=AxL(&^fRAxb1CDuL104BR0LQ$o@@l5%T`O^32aMAV zIOgpG9QhM~V_pjD8XvoyTisXHJyzlB{^zm1|3_SkDS@CChpEicBE&}xYBOTPKG|M}nV zEceVkKYi%hth-W&u3o=>{hTpoV~sIaZ>g?lrWo_~UB+CPQPyeq8uQ+4V|r#9^Oux( zvUsLRtH~@C7D)pOcu4m2ZRJ1|#=7Q|d}>prXQgT}6uIUb>0BwbOH#i!Lvxs?+^y1C z^8H#&@qFcZMs1|lqBv%2iAZg$;svTrlJ1PuzEEzCROwKLcD07C|J>`UJS?Sv(m zGAb-oj=HwM@Jz(V6qRG`C^0`U78c@^GAEUIL>3O_ITp`pVQMXgIe`HW7tfP1A1YXE zbL{C@Jf8?tXEC>13>@_4c)n8NQH#;>8I$LdFiR|kJ$3Vkd<+ zNi4INJ1vHOn4`nA{}TUfrf{>Riz_`}j}=>f$&%jeM*5b``A>+^8N9ZyXDDUClP9el-@^@`zP@0>6%d}m{} z;9LB6#PNaU*`Rnlo&~}nzKtn9FOH8a&ql@L@hlPs@$IQ|Ll-|4$LE&kY1QRcofqrj z*4wx6e5rw7Tb|t$;NgCR&$sY=D~`*SXHOL#<~rYw=MIL)xA6QXjz2BWUe)D}nio7V zDdV|kqZXd2I!M!{?E5}p#^O;r+;_%vKSV7&v&AvT^6VF8JRa^4czg>_tvHrgo~-I} zN6kyA;ePymf{z%UCJk)0Je|so$K&r4JjC#<)4+Di(^Z9sbzUH4oWD;lhUYnPbXlJ6 z8}KZ&Jk|E=MRB}jd2&^FlpbE6YWww?INq>4&rg8I-zVlpj6AMjr7UZ}w*;2^hkFwr zare<`VOJ?8KiyjHxUsNngiTlscNoIm1D59_?%r)v;t9p@-c3Q`u$)6gpF5#!6?U~e zu=+F#C5mMaksZQ5DGQc!g+#IUmD6UguxsRl}1J@K*IEOw@_O%}^{D!lB8kIe}C&>;_@C%7>SGAE}1jBv8BRU}IAHWv}Uh9K7reyxWx6X7dYV!Lrvr zmiG|sZeh2}hhw1>aXmO*_7Ut}C3eUszetKivAl=xH$GN#T%c#<>#7OAb?JdK6kRj0 zC1IbnSiYMO$Lp^d*q4Ppq&mEd0ZOW2PYRn<9c-PH9ypu+Ia6w8{$wk2w=2)k20@8dEl0+t>fFMB?f zSP$PNOMlCyNEFMNS}WZrY+9DH&AX38vGCHvF<~?EdB^IdNEGYNDmC6og!SS*a^mvD z-zdMiYC1(g8 z_L6dc{dTx zGQzuu@Gc7xpXofU)fw9e1oU#8SKqg9>d^)aI~r0TW9JHNE@Va=|Sm!X}ff@ zbVZ%1z>~+b>0+TT%>1+QPE+d7b>+<<@gVVF7>_&=l`RSni0RAr7kUPYxkA3&mI*oray^-#)S1up z4aOUSo%M|k@us*m=qq*x!(G33Efr+NZ%5o=~n*5-}3*xPnUQ8ms%8xcB(}knG h1I4VD>`E4o_L{_=F-lqmO3e)(JkcEYqyO}2e*@T`!ASrB diff --git a/isim/NaNCheck_isim_beh.exe.sim/NaNCheck_isim_beh.exe b/isim/NaNCheck_isim_beh.exe.sim/NaNCheck_isim_beh.exe deleted file mode 100755 index 02223326d172ba04a33ba862703563c2577769f0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 49958 zcmeHw4R}<=_4mytK;$DE5ET(IwoyaXu-_jA>n?2MMk69%rApa^WFgUzq{)T`3yOwV zuGfV~N$bClw6vvtX+@z`BGsY+tU+sSw6=}b+SIDuh+3@HQmf|uo%z_@-Rvd{1;IXC zNY2ceGc#vq&YW}R&P?ttMJ1P+GBOnTn3OLl6e}I$V<`SxQGEZ$q5|hpvK60ljxtFZ z4$Lt8_*e=-9+I#_6fI%4pvwfzqOeIIOg|T9JGG5|CW-bkHuKycVvYuzDLOP;c|GfP@!`@+6e`u0}rAKAvsJ-;&R%)m4jKj;YlZ7gtx+ zG&Wt_auhby7TOs9xhRR6IBWJbtW4P-;}D~|$K!{_lG2~LJL|DCc24=?KP`pp z&s|t;di3V&x+(2U{K)>-Iw~^8WzCsFxU=zdE`Bz@x_;Hef8DThM$zxyJmbEXyKlJV z+bg@jvS3n0TlZ5xkGzxf#&7?glX3p`$3U9$@gaqHN_?&dA{o9e1%6!${FW5>hk(z+ zPvY|g5XtKOTMGOKDez~ZfD90kop?OHpHr79*l&(=e7MH(1kH9!3N7=eM9^Wp? z$xGlznOa*Hp1Pn4v%YDn)lul4T0E~P7??+3Y9VO_{M3+Us9IdvP_-;nv$U!v1ihdH zmsHhMDNPMk!SIT@P_Q8s4pxMglr>g|gUidR8$&Fqp=xPOS#_|otRWa$9;yj5R@?gsQkuYeOb-YP_TAMu&Sma)WlMkq99&Na9Ltl zT~-TBxU4=L404Ll(yE4Vs6N=xxVWyqc4>XtvS6qwRNfd4HN+ECR8^F5PU>Zzs!#|` z2v-EFYnN7)2d!3@BgRY^Og2=3dC5=k^5wy~BInHNvWAAl3YJyX1ncTU<-x|9DqfN3 z2M`;3Csuv9wvO{yQD3zjO;}P@4?+6YC3>qJS z`Vh-YA zNU%A)L8ry;cG&Gsn=)^HAUJQne|Eq>Hz0TcQ^iF^MZr0Sl9X8`#WQ9GZH2Z%r<@>z zKunR?L*a}R|7Iak&cnuuRi}{i^0LU>eOD}z@J9O_HQ+xZi2)B9@aGut zhYWb>6H)Sn4W*1~1b#y`#GX6@Ua-j03JiF%&GNAr@Y1KCG>-vq^t*fpJk>29)qt0} zkJ9EC@aVYMv%r8K8N!+`HF;3pXHYV(`f(FY(2(HR)_ zXe10*vib4seSOY}x92H+=S{+I^Ep0*C|*f9dwcruoHvf*BtpGiEIyLrBs#qvEN-GW ziA?Vf7XP3HaT1l@%`E;8ij#=+wzBve6ep4IUCrXJP<#Z%>sb6nij#=+ma_PB6erQ> zox|c!QJh4g*T>>}DL#tg78ZY);v^cqc`W`jijzq6=Cb%tC{Ci#tFZX@DNZ8Ld+=i* z&igjSY3O@PzO_k*6q)S3EKSOA=(e98vYw1GyC2^Pfz$`9Oy1?QY0lVm>FJ zKnOZARBhOKAnF_cOGcy*JA<-#yX#+hVpt}E8C2c%Pwrc?WJ#gZVtJ!Y zS&G6#-@H+VE~-VLkxRVw5ZLjQKXS?C6khugm37+HC`;8$s@558Crsp$FHq_YJoU3O z^=0kv(IteVMtm7;wfev4zs5hGapzGZf&5)+WPK|nM%C1XYGlE5HByqlR@Fv5k&)Hu zn@^&zw!GhXiK@-d-_m^3=!~$pB(gZWNS~Ci-;kx7{MuVZ=IsXp+6(6G`~BWuuewy# zGtKQZzdYA}*}u^LW&fgmsus?_=fE#?lYV)YJ~!LD|CVvhAM}My+MX$U%-f$ew`ZMx z;F;zRG8zvac(X`*5e5FXC^9+|@K>rn%CB_9 z^WQ`tP!p&FSi|R0NR14;4tlLG|KRoem{=b8*Dex-0|&LP18)k71ucwX7b(MiyGRA@ zlO;xtB?|HvFn>{CGV)UBzZe68{HE08-ATyL8c6QHR!VxY_I^OWDO;bBr3Lc4^of4+ z_D+PJ4`_$X+xK}9T9wP1Ddp84+*hQ12!Z=sQRJd?0RO%l%~Q0fpJYspoVF0tt1o}= zw1xRy;a>(a{u9V}ttjKQfEEo*>0#wPf8c)JW801;8;!T6&4%dKzuwA6fts_Aaky`u z8gY)VVY=pxWzZlC{>`+>zi-J?qSF!nIp!8L>De7O=Rrrj_$Xz4j0QdWb}Ut*NeZHW z7=We{q8$U!kYpwL;{j;0j?oQ3Uk|;pFh8nl)%gcYw2J&g(C`yfrcwRc)2jBb=)yyN zebY0-Ha2=HRFbM!=VzDb75TZ)KNFDu&(Zy$F}GV(D8hwMgwr$48#@mCR@G+~6lt%{ zfIi8iBtIpY!p|IdaHu+?{~Ef^`Tcc9Kcs?_*7<8n%A`8Ujz~e7r{4Nz0cM-3?IaVc zYHehRi?v_tUmC4OTF9)cS_@h0PUhQc3$kFwvVrT4;Z(hi2(;@c2N`~(lD$vN5jEx@ z0=0SXXwukgMSuD}O4C`b#h7dXKZbI?+Ps?@qnKN0LX~LuO{Y5bHsV7cr?q_us75x8 zC#<%KJPJKiYk8iqyjXprd3y^{X)Rrp%EFk$(^&N8RafeTsFc{(Ct&Qifr(=6aqYzt z?QOD@3o&-8zKIyrPX!^X0p7(X#y}|gMgtencN3?VMW#;+n76ZV|M-y?AVw*me+Gro z;05#o;3!G2&(dB9Xm13xzeT_PPq5St&EnS{hc0>zBvdm*H|sOdMHWm{(nXu7o6KuI z!0*gWRB<44H)BIPbYTkxysVE_X}y0yA_kK8=!GT(sQKpYregi{C$dbY0}l+6nt7n@ zgq7CKM=Y(GaL6zSBpAm}T0ed_URv*GOnu6v^@V>7DyBX<+_Ol{NCfPzhZvfe^b z^Y*=3%P$bny2x2#!Bt$(wox1OiO{nFuwc})?=hBGf9PYORayC?P%^82I55=jY3kXX z)DZL-)3Y|rP`!n?H*fFITC%vFrMT3yyk%{9NP#6I4X^5v4hA%G9Wf@^l&0^b0S@Tr zW8TxSa^=e`fr{sK>gD`mzel=5g4xRYu?FlX&( z(=^tr<~2{EfXq$A9TYR;n-ntzc&C~p^AYa-J_r&O>@g78om^y@M0O4*=U!U`k$nOp z%La+#&^6R<*nEg=3k|n6ppC^E zlZ))myFscC*O-ioqL_0)9n1w*iV2(pl3(E*u!*NnhS07D6GoxE^zXzJYp|YsRE5@p zftB2(FSOkK)7!bwGLZs%Txj#qWG=Ly1C9x8UBAb0&0FY$7XwpX@oUc;gcFPB{PP3a zUmm96ew1D^vCYHi`9x0s0F4Lv155(AjKfD3;><+bAkX)MIJrFY{x+}kl01JMWQp?p zKj7XzcYq1Y@pTw}4JM2dyy{J2>Qm-0Y<+{IFQt2XYxsCO3@41V9+AE!3619Wo&eH% z4wx`X>u(rSpE7Cv;BSLU>tDZeyruOR_zK6@y{&vbW|ohadm91^M#()5LLgki6Ux1% z7paHm-d^|`H~lBw+oS2;?))ps$8mOVcYq0_(3ZX$n@1;f55#hdiey9Rv(x! zO6x(!)Tc~Z&-&A#(&|`xyruPj&b`(C7&FVq%e}oFEEpx%^%Ajk;=R44V+Duj-j=+` zP5(*v_Gr4dzwajbIL_|vvtYs~w70$xn@1;Hc^a!!5pQ1&? zz8aOGPd0CVN{j3##@LS99J~bt(DOWaAUPyHX%`_v z?3uS`&`#OQfhn*1wfDqk1hJ5~op1=aROc3`v&@l3l-v6(f=9NUFoZxdQH7vl1B~qk zcbZULOoZtm&5#1gjt_{lIMnt|>Io`>pg38>1oFQ-S##}gsnC>X6&@T!Ai3yZFO3T% zD?^`P*yyF6W@0(5g@R(wjP0Vbjo#}~w^1}lVbG0BGA5cw9;I=hMrS9X0y=Go zanbzTK+!CE4y}sQsBtG~Y8DEwmhE@1IR!NjCW))==zX2K$tdfe$O)PuB>0n7sYkvY z(bhGn1Rn#H`UBKxl-qgF5(g)`N{##`LCj97)WKBhkqczjGlL4`F;%JAsM{!-yBIJ1 z?+s2&mHLmT2UV#TUVFS%s)rw;rQU-@Cv%MRU4gYNod^v$NqdBvwf~T<+#)0K)No^D z5B%K-+4@d8YXN`P=o^sxlgu~R3Or8T>cc`;=OK%S+*TZ&KC<(-)?dXmT(MTtf5e7* zg7_h*ngi_gsyM`o)2Vb)r9`V7ZEoL09kq7Bc#smmx}q^Z5oVT}MMucUTIy$-w|8mm z$SR!<+q>!pb^;f5FtAGK1TKwOTE6Vja$GNXDp8b~ znx*r#=c0df0Nw#JFLY*%c^k3wwF*C9n<6#iKwGN3=Jp61 zl+mW%8gP;527j6*zG3!%FY%Y|KOrRE12&8jA7yNvP!jL#98}^zhWcSA%DF#$;!6Dg z+{@sVza$Z6M-b!QHPFiD6FSPf0xTHCcghoNRvjXRej**^rCV!<_cHj>$Awy^wwyf5 zdz6myKDn3V<2b(zejH2~g*Ln|HjhrIm%&_U59ej@9eWa0H9KdX>L~B^ME*&>3|`CJ z?A=|MQh2GjfB|+d0CSUEx)QDBZU)$kLpa7bNY30V{mlNWX}ds?c++BS3mlrQuV>rAiB?9fT(It`H2NCjjjv%+(uLZM; zBeUr09J!&KgW|}wbOUYcvTXrPxk4v-$?*7=3)ojH=|f6@)y{efOQbK zzX;A8ZpKkRA+3w0ZK8(a4jjKAC*Jh>rxk%`pFE5( z^U2MTpCQMA5heQWCg8Y(PVHk3x&{`FQ^(suMVz5W*gXneT*5;aEUn{m`k^lCmD*2~W8>uuCJ^s9qVXH$b?U7K~TqC8ADM<1PmU(qHo)O#%`^awT0 zy!}c3{#<7*jbvrw1|TJ9dL(x$GmZP=Y%ZL ze@-{0(Y#%V@yj42dHga66=}SQ_t9=t8-MA8T1qR0^Kl=Y-@t_Z?qDV4q2g8+!WsPt zF{FQ`|BU`msVvOYoirvF;w3&?SMfzcLLJm~>~(o2n?%}^xQ0C1jI2FKh}|-wu{W3`bx5Kj*&4&4kBLCMiC=)AafTnx+oP3q)zvM^i$3uPNf#T9V*H_l*kq^9 z!hHQRdZ0wxDP}qK8<2kj5|YwQ{AewFmb14M_=^f`mj8wkuzONEUf6E|p}vz{ZrQn# zGKppR1oQTtl%_E`(pxA@v;GNlWIIZ@QlAPgsj2Ms{XO8+IN3{nK_&6E_o;HqvpaEY zTZslet))0xPmP5IU{gMa&2J_mXdIjJY%?!5<@e^rP5BnE)q6Ef`8Li!ZqPnXJhSHT zDbE_2Fy-s0&e)Wv*^0(?F(Bvqeiqxwk?m}*Ys`Y819u>e?;Ma=26VbgLq+O_t284Y zMi<0w9k7z!7_*1;)SUD7#YRwVz`q73}y47#gBBE_@?!qobhf*`RmuXzJg zJ(G)53-xilf*_2yrHLrn>#N>JQfr3Ipu&igkU%p~XZG8C;!SFYH18K2bVQ$Has zU7JrVlg+35Y|`f6iLkW!Y>4SSoWbU=Mmo3o)IMhO+rXkx4HWH)xB09Zyo+OFo372L zW@5G*Z9cUw!RCvuy)~u){LoU-HDE~Cd}!^Y_1pu2*4Tk(s zO(pun+`O~>_JDq_m}CL=X96gg>(Nuf$h`O|ZtQ#ym~T+)7Yjc&L7E(>Eg|XKiwuPK`*k>1$&+^ezz$X4Ch_h_#qa zZ;j!E${Nz9@1h)R>I#J@Y=dz zkf5;XYY>K!=phu@bV}29uBK=Ug$LMlYAUno`@yMkGB-U)B_-N)Rwo@5sicC_`vVu^ za(tXkr=}*@bkWzo_$mEUuqA9d=N~rxdE%KhhfitN$ON0-L>0uQG@IaJ@t|+u=9}&F z3sFn-*eSQsW542OXl>l#lNK65GT(VgZo*CixiCG<9bgtYpyQ947##y$57%G0QIW3g+#^7)-=6;Ol`BfKy`AR z9`^@pXq}$5Bf)0VP^PlkB=3h|vv+{}D6G@}vORv9-vyRG*>!r~InrroHoFT9eUj^R z`cr9`^)zh~XFcgO65pSb{}Zd#e_bA*6Huv z8$a2%FwPTgI$5$~yH0;Je#-v|Y<(i@bT-!yYn{IMC)^wx{^SJ>YpQj+9pq`Q)3Zg% zX|B^>_;I44r(qh*&_g8m6N&tDG0c@exlWJ0o9{s99D{Xw?$!jGPlh^`&8IOu44Y3Z zABA=LTmLU!4Qv9-Mw`#7Ii~CMn_~*VN9**Lz|b*Tr*re3);fLpj}qtlk+0J~M`fK{ zr^j~GjYS*U%n-|O&1e3-lhw$KHYck+~?l(_E()Q_1PC(Y^h`)-|BJ__q}FIY5AbNUW| z(Pp!1$Yw8~9yu2K=^sv(>vXoCUH}F@$#pvYNp&=j6xmSg^!vVpE;vf-^fD^zN`XP&N2C%@q6?WdQ3Q{!a+ka3=9(^;Kl(@Rl#y6@hpv1#w8 zKO8^honYe=S*NqPepu`Dhrf+3h}&ZubU$4Od7A6=Qc-f6>vSuT#~FGWrjzS*Ec3M3 z89EZeCvQnVrt9<-F$KWh%{#!rFuegx?wHTuOX&d9^^X_XTFGBlpKy$ff3-(&F^B!`hlEclq3s$c6- z^|_w4rszl%)!DomUtq)09wZF-1kOBFqsr$}Mw?tEu_9!|Nw{}_FaFS-S!#gTjR58{uE<8xq7 zD4CQrfs!`pb6$)Ba{Q$Vqc zst`?Hi+fUWO`ZTrph3POy(SC!8Hct$HjXOTzn;448`-#-OyX$dvU%?*syb z+^SHs^~-ZLHP`&m<>QxV>UeYe+|$?2IX(I#l+f8+Dw}{WP%#nRJ`PpTrv{^;xGD~U zP}Sww&&3D(a--#}h&iz$@LfChoxq55EaU4E6D|})BkM?xFPS_sPl>)mVL3m$=$lgA z^i8Rr5`>~9IJS*XOs!;}m{L`IVoG-w5Id|(e@|KUme`DG;bH{&Ir~@W7FC}X{TPC_ zHjr<|U2W>{lE{5Dxr-r5=JwoTJu9Dy+qCE^(3M1X(ia}_XK^6L=Jtb>Kg9Fr;DSmv z1gKaaAu?Qx*$ORSZV%_@5aDzXia2fnOpCUNj8q5d5?&=(n--nKvvLJ8EouT~T%GTb zpy_AyGtmQtv92k|p+Ha(KIQ(VuLp+>R0;I`mn|{&D@rRty}U*OcDbykFFCy)58cR6ridM-eZeAie;S zNL~P?cQ)^e70~)FeZA56{l?hvtC5S%D7PeXUN(UQVZb-5@U_V|Jtb3K^J^dBJ96rt zk1~t3KSb}<(S(+_!jr6jr0<5}3=w8KPIdeH#=oRC@547H57fV*>I?HR6{_=dsc$Aw z-=LSm)JrS#E$AB$eUFhII;Y7#7YUWL+6<9uKGYXJ^AV-B6rUvxpqrWxWaGP+SVJJ8 z@g0(5e36pNe6;UQ@IsPJBVt1T^gmy%t-mo?7IawL9*524b=reAm(7C@2s^#rfu9;y z=#$U*h;&UwS$zd7qzWIIo=V?tu3c7FRUN7*#21ze2}hqPo@)F|aUuVVw5Xcpn_V`0 zCi|>4#e$1Nm9gB!*3`0~#p!f=J#MSV5wzOeHk-qZ4<5%AG_|sJS!ilWV|f|-0yllq z*zI)qD=I?uQ|IG5+pPRTd_h|gElpaaqNK-9(r!wdAK6^Sj_8Msvofb$gdxEYDj$-_ zAiNR7RR_2MLP}pl{5fD3-~qrW;5cX-1==eBI05iRKnvg|(n5eg11tsn9pGxfzXRS4 zm<5%%18_3nen2~558#!6hX5A?=3{}B8EzK%WP9e^8g zj-m%}#(gLk%JHAu`}%xs2_0tOQ;{PrU&)o3%UibqJF^7 zL{UHBhX+tU;OPfZKVa9psDG5AJpC`!5BMIS3WyolM_=6i6X06Fu79Jy0r!2-*O!g& zsGk2H^e7q;E7)&KQv|^0#EgR9bp=`^)znlXxt)MGja;%6Te*PwMY2z&{QAJ;W#LZ_~GPF#qVG^v98|LdS&(F%ME_x;?WwtD21o zrN4^wds3udg!C-vs)Im$F5U98U?c6Yh5ty!&(rbzI6(i; zhtj970R2O-t?BmvM$mtLcl!PRIOtz|tgr7y$aQSKm}VI!*dJmIp!xeA=-0rePlQ~= z=#BHsY>J!j`S1;D@CR(zm2qYVp&te*e?I6BJ=NFufsvm<%3lNez0ai2|AU~felC6f zUj_Xaf1f`8M)J6Z72 zHogfT3SlLXlUoksLUv;k@LPaiPxzQ!F@23}52a5>`l)aA^<78l+%7GUc4<0L3z2>$ z(%+@@lzx%vj*&?`BFcXc@+)s2S$^X0CFFkq`O6U&{FO=mDE&jEzmN2p{ps-ND8l`# zN$^Q0{XM>4@{#5M{vzPN0{=l-oHJ*=X&{`f4{sikIXi(1T<$^oE~JxMk`LAYAn-eY*9dtv)f>$5HILwIm|{a9vwSKbUiGm@ zGMgBe__eqCSa8B^9MTZblL%*tP8-dWm99}f78)bsTUYb=KR@oPB~+=&hu>H;u;0*M z!+b0RRmq+mCLe?7diJ~_%IDrY)=&a(^py!kDv&)JN*R!2nJWS`&h$Vvf($jH@ zk3or7MO=ud(!^2~1tQEISwCLMv-T7Sdh{cU%l?7bu=p$4K9Tdp03N(}N%nj!#w};G zk0nnQuu#A+2sm57MFQ3cc(Z`(1pJPG_Y3%_fX@i{vVd<3__2UFV?_M|77F+U0cQ)C ztPSblUdrL+zi8$#BbP(FfY%9lr+^O#_?mzdmUH?=^&AFjIK1Ho4(pb1*uI#Oc@Dqh=5UOY z!%J)&-Z7O!x5)R>MLb^kISxw%e$fRyes4a9O9cL-b9wwKyc4A7YXX1EBp!eIOb*)w ze)1VSUN@e@p9uVir}Fq(0UsCmE5>pBa{?+Up4UaXggqiIp~Ool@e)dWisF@DiSi`8 zU&JMpcnKw5LWxgRen6Bb;RPZtp~Ool@e)eBEPt2izefdp<#ZoU68+yQ#^rtiH9@yt zz&&DI|14mw825;P*9p2(0XGY|c~rpJLawR>%olPvL%^>Jd2JK$LQ#%YK-mrnZ=T^} zK?!Gwc&UIAFJY>5CB;)G=9PpF5uclYA1XaX@yeN^T@sEG@o@?Gq0&>8U$e@`l12%5 z-q(11!b%Q*brXlv1zdLvk6$U^OCsN_nKM71S1@b#HB<5&g^ogZ9$uZ>EOx6suV8Md zB2O&~bNt0N`xLC28&)g}mn{Yi*K=4YLsd25P<@?JSW_Dg75ZlsUmPx5Dq>4(8VeUU zR#jJATveeIQmnG9p;9TVSW$!WI1JbGl;xrNhN{|{xJVFb^`YuA${<2@)nTQus-_CR z!=WbpUV;eHYAedZWlCYFGPtC^Y*{E+S%JJVrWBTkYwH_OAqO{B!4CDO*-m zj@oNcCVmyNig=-m8;}+I1j|A-VdcMl&O!m?BS`;5G5HX~BM_5#EcRRa6B6-R1V-RT z=AUHpAqM|hAo5tO{}(MijgJ)(WB%zTA4{Gt@{`|dd=m2qkoZ1)8(Dv;$p;Mibqpva z9K`%P=|>5)G8+N%v`LWS||t zjrp6__!z#41{Tjta^b_HvGEg8r2_%VFQ$n?8>#GRM z=ZXAzB7b6iiSgeig?nP0-Ukz&lRY$)Zl8#vg{LF~88C*i-4V$9zo^7n}R1#;qx67pgt@xVs_ zRF-Ui?%kybFRQfHMEZ33#HVN5ZiP%eq;D_~jJ!kCKD} zpAco`Buo)b`8^KkYy>@%@iP}cvfrd$A~lz+{{25i0-i<0py5fZ-;gvQm`U83d`a*o z*w~r}2352IC)+R}=dA&V=_IB-UBVJALug{QYo-GO&L>2f~ab{X_a8BT4@h0Z;Yr zNT~OEM&HlBoKH6h`~<0g5T~aJKU7X$j*p_TCl&u>_K5#%VFzS}yBL0~GH1O{q8R)x z!w($adx58V>k{N`5AezQ?d25sw^;dSDy82lMF{)7>^FQ~zzpR~W%V~p8Aj?=8W6%; z67bpRD8efV_^|@t)fQjQSpvUW;AOq%34C4xeyYHCY~c%03cL;Y3|eI*=ucYrWhevn@YTSNL;uM4 znryEbJhcdXK zRTLNSxscC9`#6))3nBw36XnSF*X)c0(rM2=7j`gP*d3W=v!Ivn$0a|%6L|Ta`RgJ> z_K4*DGnwJX#NKbq_FkQWz5;mSM@`_T3iv$9oB=k8^5y$+IllJ_ynO#J+xxP>%Y6oR zmH_3QiU~VbnW*F?*xx+hssH7^gQUMk;N|;!S??VJFZTsv^M&CJjEmsQz$fef*F-sT zALB*LD|#lvVNWK97c=}AMeYZLIk{4ng8nu^FZU;8|Lhcaxj!M>6-`l22G-ZqKXU)& zVZoB+H>aV=7HnMt ze6sO%G5o;w#dL-rqsaXqIqqK(c)8#8S;5Z_1zzs2$^Lv%;N^au?4KOGM@q&|KEsbu z-W=y+CEp{;pO%9Dx)k_YQBM0}UXC31Eh*@?3wpT^Cgt;0ftUM=a@^0r3oMccxt}J- zt3=@CzU2ji|J4jHA_i~|@MBGK_B2mqZUgD9V@nf|AeI1zzrt%6cc7seH52 zv6}aTtoj1riT^7^KYv{$T*vT|svmwW1wJCmk^7ob4)>&>{~hq84}A&x@Nc3Vx&JBq za}orY_>}veQqRv8`0+RS7?TBp)jHtED*fwOm|gbV!stcB0M?6gwvteVT_`mZbs${1B6!~M0ejTlyoyi^c0-k}ICE32wO3*zoylq)sW zmO{7F?cf2A*Ukc7i^anOPLG=ftQIR+BxvW33tX3YdyLnlt&&Dtwx0h%0;6ew(0O92UkI3bAxtvO>3m|A%sL*M1c?P}I5v&V> zm8F)Uh#W%^*oGpo4@F=diok-lCcj)F+KM3>?5Ymh8sjo)IUtBJk&3_qNu)i&U|D^A z*@|GOrXn7}CrEHs$yGD_CBdsMyKG+3{NQ~5jFKYEj=+~@`>!mXIq=RnSc6WB-R%I@rjWFz$mp`!-5!tCg?|>C)#3Eo4EYA_5w^QYw~(lB zkk4Yp-61dI#_Dp|yNXTBG(qybc83?Nc${=C$z`|W&Xkd(AlpX` z2CJ(Umj}xm8hN~_p(+^0Wu#z3C>*Q^Eh%fP4hNT)RX2uGwA$))xg8$6&Ed3rJP6_5 zlh?pta?bi&W%F2YZ_48G44ujDKm{(3!|SHev7$i^Lq)`RL)Fq6=rwFP2Sdwg>o$4q zHWzM;VTOBc4pMLqtH)4I@+=;g*M;GCI54hmH?p{mqmVq0-2-Be*NPsoxhxK+%Q<8< zR+}9g@;0jlS_U`VEDlc^ncQBl)$Vb7+!pi??ddy=eHjeWmbQ=+>Lgq+s}7d4yKMDk zHA_Rm+9jAu6``h7y@mUA5PeJmbeP3yvm2Wc3}UN%P$9$-155@q#A9(=A=j87M)s0- zoy}$ST0Qt@vp|=-+)kt5QX`f^Izu%}t7<~QWuaxY^(#^_9~)}7*Wq?LtuDhDP(k&L zu%=bZ8mr5~bQVEql71r#Gnbr2y9FKYa-wG(cBl+YO+(4av)C}KR<8%LggJ?Jxh+Fx z!9;Pm(eYl)Wvkn1#c2&=_s^Rj2+o`DpB?be4W!_+f2!H-R;VawlA%QxLvDr6fUa@d z2)G7Sw%fff+{$!0(J7w1{@tB#a35eyA;PZg1CcO-WU!wpy%1q zi{wRHQKk#i!fJQfEts@c=KzVQmxc4Yo5{7L zCnmlH^}3)lU??0$Ay3W#w5J!k#p{MjbHb6a;KHh*CxVwR56%@GI_s}n46TM-=IvK4*KtL!aJ}) zg6%_#4m@g?+XDH49ri*6x?Drcdu;yNZ1AX^7Mt5@6t`4EB<;P`>O^PSy~aFogAvmr z1509EEW8}%6|x0~&o`^2c*e}2tsxjJQJKxs|5~=&1%OigKJd)YCB(KNivb$l*sC*|H<2LqS@*KpO)8T?!3>{;4SRk*4 z*1*SUsD;~CQ&F92+}yBy@IUOx=_Lyi=S9cKQhlhQwz`qLf#8zHnsVkA#1XK0mz+(T z)#|o89I$>^WWvTnEgRT`r&3RS_c9n2JwYufP&uh_Fz0+APo# yhFVi}8}z@+>aaL$R(M8OMh%&x-{#ujms{cX4w)t9N@2BvzaQbSdfmnu@&5s!rKeT^ diff --git a/isim/NaNCheck_isim_beh.exe.sim/isimcrash.log b/isim/NaNCheck_isim_beh.exe.sim/isimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/isim/NaNCheck_isim_beh.exe.sim/isimkernel.log b/isim/NaNCheck_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index 2a6ce76..0000000 --- a/isim/NaNCheck_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,29 +0,0 @@ -Command line: - NaNCheck_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 39524 - -Sat Aug 24 12:14:44 2019 - - - Elaboration Time: 0.02 sec - - Current Memory Usage: 195.346 Meg - - Total Signals : 23 - Total Nets : 137 - Total Signal Drivers : 15 - Total Blocks : 4 - Total Primitive Blocks : 3 - Total Processes : 15 - Total Traceable Variables : 8 - Total Scalar Nets and Variables : 497 -Total Line Count : 27 - - Total Simulation Time: 0.04 sec - - Current Memory Usage: 272.945 Meg - -Sat Aug 24 12:14:54 2019 - diff --git a/isim/NaNCheck_isim_beh.exe.sim/netId.dat b/isim/NaNCheck_isim_beh.exe.sim/netId.dat deleted file mode 100644 index eeeafb31bfb5b66d3b8c5f8de7cf8737004fb1c9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 92 zcmWm1xeb6Y5CFmB3uwqf7N0XZfli=o=2n_u=ZGj|7dK0e9$qRRE7?ZJPS3%>$w*`3 E2j)ZsUH||9 diff --git a/isim/NaNCheck_isim_beh.exe.sim/tmp_save/_1 b/isim/NaNCheck_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index e72cd259b209d6ed2b90804cabcab0405089143e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4439 zcmeHI$xg#C5Ve!0W#5;p??_NS1$yCD6^IZLG^hlG5I?}japk~;D}Mp+jh)mfkRWzM zMjFR{exAkSPIo$o$EMRek2kjC(=-{xrrlm|Z<7~iqS0L&X4X_9_C z+8_%;XQ6}zM9@Y7;t{2y7qDgllcTKyWRH%$fQSz8SuWEd*v3sWOv574dpG_TF*Kx@US^x23jTBIL4Pm`#DDE z5u&vuBUTH~kVPQxuJsZQ|H4`?yDa@$uQ<%FHK08AYs^{uL42AVou!9Kznk`UkK!$o za?wtd4s-y~O6!nGk)0PkfnFIM7sj5upPt z#gpB73x}rGP<@s-8TgJAdnptNz?@A$>`Oizysc^utzE$pQ@R-O1c;I+Kg=XVhEQ+I zk-Th2Ic)eh&Qm{0ZBBHnXo|}Ae+veC-QGDEN%x|?Ns1&$_xv}w2ZhaVNV&{74RZMR z@KEHOIXiiH`M(diwyDUaVx<)KC=7=JQzGBZW1Fjzs@UrD&zWc5GavggvtKk@ zE6GHHDNC@o*-=eV#{P)GYKW`sDRzckZ(IAnr$_%;qldo%TX)`q3*(>u9*@Uiba2Qf zMb94I zoBTR6N1&ETGsC|%2<%PrffxC{iafDx8-dshn9;QZn;DzF&kS#f3gmes&y3D+Fc2DdDzXY%MSOR0Dj9@o04%6hoQSlg*sjPN2Aoq=bcz?HEd zYm&t>tWL>#?D$u+>)@#&`J|8tdPq`T1q!KqZ{+n?~v0TofuS%BF zbQh%1(72v|Rpx6lwycC&gN{Fx`AJP@Nnusv>i#SI9tHY6MccudNTHq+o*!~mzT&gQ z^m~uY+j9IqDlyaNkGej0$a9ZHNw;O=S*oJws8u7;ge!EX*q`GG zO*G!*UQ!chg85Cl!{Bm}+8`+=Cx3#g)gLvy9EKF|8MRAB9m25)cF)*7|-Mzr2tm($(c-L?Z~=!VY* zdk73)WQNMW^h*PK8juY8$vG;Dt9=f7JZHsUp%?~^Jy3C+M=A#X3`WIq6D>?c{QT9J+S&sn# diff --git a/isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.c b/isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.c deleted file mode 100644 index 8273723..0000000 --- a/isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.c +++ /dev/null @@ -1,368 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/TypeCheck.vhd"; -extern char *IEEE_P_2592010699; - -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_0557987184_1272247069_p_0(char *t0) -{ - char *t1; - char *t2; - unsigned int t3; - unsigned int t4; - unsigned int t5; - char *t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(17, ng0); - -LAB3: t1 = (t0 + 1032U); - t2 = *((char **)t1); - t3 = (31 - 30); - t4 = (t3 * 1U); - t5 = (0 + t4); - t1 = (t2 + t5); - t6 = (t0 + 5104); - t7 = (t6 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - memcpy(t10, t1, 8U); - xsi_driver_first_trans_fast(t6); - -LAB2: t11 = (t0 + 4944); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_0557987184_1272247069_p_1(char *t0) -{ - char *t1; - char *t2; - unsigned int t3; - unsigned int t4; - unsigned int t5; - char *t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(18, ng0); - -LAB3: t1 = (t0 + 1032U); - t2 = *((char **)t1); - t3 = (31 - 22); - t4 = (t3 * 1U); - t5 = (0 + t4); - t1 = (t2 + t5); - t6 = (t0 + 5168); - t7 = (t6 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - memcpy(t10, t1, 23U); - xsi_driver_first_trans_fast(t6); - -LAB2: t11 = (t0 + 4960); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_0557987184_1272247069_p_2(char *t0) -{ - char *t1; - char *t2; - int t3; - int t4; - char *t5; - char *t6; - unsigned char t7; - char *t8; - int t9; - int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - unsigned char t15; - unsigned char t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(23, ng0); - t1 = (t0 + 2288U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)3; - xsi_set_current_line(24, ng0); - t1 = (t0 + 7603); - *((int *)t1) = 7; - t2 = (t0 + 7607); - *((int *)t2) = 0; - t3 = 7; - t4 = 0; - -LAB2: if (t3 >= t4) - goto LAB3; - -LAB5: xsi_set_current_line(27, ng0); - t1 = (t0 + 2288U); - t2 = *((char **)t1); - t7 = *((unsigned char *)t2); - t1 = (t0 + 5232); - t5 = (t1 + 56U); - t6 = *((char **)t5); - t8 = (t6 + 56U); - t14 = *((char **)t8); - *((unsigned char *)t14) = t7; - xsi_driver_first_trans_fast(t1); - t1 = (t0 + 4976); - *((int *)t1) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(25, ng0); - t5 = (t0 + 2288U); - t6 = *((char **)t5); - t7 = *((unsigned char *)t6); - t5 = (t0 + 1512U); - t8 = *((char **)t5); - t5 = (t0 + 7603); - t9 = *((int *)t5); - t10 = (t9 - 7); - t11 = (t10 * -1); - t12 = (1U * t11); - t13 = (0 + t12); - t14 = (t8 + t13); - t15 = *((unsigned char *)t14); - t16 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t7, t15); - t17 = (t0 + 2288U); - t18 = *((char **)t17); - t17 = (t18 + 0); - *((unsigned char *)t17) = t16; - -LAB4: t1 = (t0 + 7603); - t3 = *((int *)t1); - t2 = (t0 + 7607); - t4 = *((int *)t2); - if (t3 == t4) - goto LAB5; - -LAB6: t9 = (t3 + -1); - t3 = t9; - t5 = (t0 + 7603); - *((int *)t5) = t3; - goto LAB2; - -} - -static void work_a_0557987184_1272247069_p_3(char *t0) -{ - char *t1; - char *t2; - int t3; - int t4; - char *t5; - char *t6; - unsigned char t7; - char *t8; - int t9; - int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - unsigned char t15; - unsigned char t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(33, ng0); - t1 = (t0 + 2408U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)2; - xsi_set_current_line(34, ng0); - t1 = (t0 + 7611); - *((int *)t1) = 22; - t2 = (t0 + 7615); - *((int *)t2) = 0; - t3 = 22; - t4 = 0; - -LAB2: if (t3 >= t4) - goto LAB3; - -LAB5: xsi_set_current_line(37, ng0); - t1 = (t0 + 2408U); - t2 = *((char **)t1); - t7 = *((unsigned char *)t2); - t1 = (t0 + 5296); - t5 = (t1 + 56U); - t6 = *((char **)t5); - t8 = (t6 + 56U); - t14 = *((char **)t8); - *((unsigned char *)t14) = t7; - xsi_driver_first_trans_fast(t1); - t1 = (t0 + 4992); - *((int *)t1) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(35, ng0); - t5 = (t0 + 2408U); - t6 = *((char **)t5); - t7 = *((unsigned char *)t6); - t5 = (t0 + 1672U); - t8 = *((char **)t5); - t5 = (t0 + 7611); - t9 = *((int *)t5); - t10 = (t9 - 22); - t11 = (t10 * -1); - t12 = (1U * t11); - t13 = (0 + t12); - t14 = (t8 + t13); - t15 = *((unsigned char *)t14); - t16 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t7, t15); - t17 = (t0 + 2408U); - t18 = *((char **)t17); - t17 = (t18 + 0); - *((unsigned char *)t17) = t16; - -LAB4: t1 = (t0 + 7611); - t3 = *((int *)t1); - t2 = (t0 + 7615); - t4 = *((int *)t2); - if (t3 == t4) - goto LAB5; - -LAB6: t9 = (t3 + -1); - t3 = t9; - t5 = (t0 + 7611); - *((int *)t5) = t3; - goto LAB2; - -} - -static void work_a_0557987184_1272247069_p_4(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(40, ng0); - -LAB3: t1 = (t0 + 1832U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1992U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t5); - t1 = (t0 + 5360); - t7 = (t1 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - *((unsigned char *)t10) = t6; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t11 = (t0 + 5008); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_0557987184_1272247069_p_5(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - unsigned char t7; - char *t8; - char *t9; - char *t10; - char *t11; - char *t12; - -LAB0: xsi_set_current_line(41, ng0); - -LAB3: t1 = (t0 + 1832U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1992U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t5); - t7 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t6); - t1 = (t0 + 5424); - t8 = (t1 + 56U); - t9 = *((char **)t8); - t10 = (t9 + 56U); - t11 = *((char **)t10); - *((unsigned char *)t11) = t7; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t12 = (t0 + 5024); - *((int *)t12) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - - -extern void work_a_0557987184_1272247069_init() -{ - static char *pe[] = {(void *)work_a_0557987184_1272247069_p_0,(void *)work_a_0557987184_1272247069_p_1,(void *)work_a_0557987184_1272247069_p_2,(void *)work_a_0557987184_1272247069_p_3,(void *)work_a_0557987184_1272247069_p_4,(void *)work_a_0557987184_1272247069_p_5}; - xsi_register_didat("work_a_0557987184_1272247069", "isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.didat"); - xsi_register_executes(pe); -} diff --git a/isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.didat b/isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.didat deleted file mode 100644 index 74180b445c745348c352c17579b3521ca015eee7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4624 zcmeHKOKVd>6h2xX^;zFm1dUcvp)?N?lNQ9(Qna82v7j5dT$^hX+O(lbwFRLF?sO}- zP;}u+T>99!=vH(k{s4ulYZro|Qok>EPMY36;)sG4KltvM`R2?yXC`y!whz`#eBHF( zJukqkm3)ev0k16;xn0F?rX8;nc@4jN^&*pC{VI`Wu(Vuc0DALkkqmfcjmX-yBKyE| z-~c!Z-U27Ummtw-tlyjanS#%WfZzRfBFcwozcGw#6e)nCh8s4CkXh6}34TUhJ*f8) z>}xQKc?GZ$JOL8al)*lA%}cwaJY)L7K8dXnY*o3f8ZEb2E!*0!_6fG(HY*RcAaq_( zXP;wcd2$McEi)R&Zt`J3Kdl?vRQA)p#;3K#lz~5YYKrq&59@Hjeo&D_13%3l0rQW6 zI0}CSSkGh7-Odt9>pTc*)w^eb48Klg8LWaqeQU0Y1MI%twC016Q8BfK^TH&*bC2 z(7y={T$l32#8KVsTg^~!a5bSPP_Ep#S5YnOouWhdLH1@4}uiK$fSI|$}2jI9>JFpz% z+$Vt;$Dqv@;|6?pSH)NiO+48o?dlPH+#n7iF^;$S`d1$yl>^hM|BH5ZsMpJPo8cW7v@g!c(mP{_=mKg5`Z%)Dv diff --git a/isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.lin64.o b/isim/NaNCheck_isim_beh.exe.sim/work/a_0557987184_1272247069.lin64.o deleted file mode 100644 index 885e58d28e828c8a9dffc5dd16e3472541c2f7dc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5512 zcmb_gS!`QH6dl`v8Ys3&O9^WYHOL71;(b9Zc}d;86w_8&pkjNt_G@i5n`{TlUde!B z9)XJO1A)W`5=iu;0+d!Nq7p@UOypiHqIJmV1OUcJ$okb{}u{v2NyPM&o<0F_%Qdn7ak5(=F}BC*BiA z^WM;Dqd6X0%r{G+-@&ZMX#Qc?-17MKOLlf6yoRwY{`sI`H%>y+KOZsd&D^K`xZ~VE ze@gey|6)+zm^&7^7~}nbz9MAU;~{U}E`*EDs$Dy?-TR3poa{*&TC-LPwyhLm%b4+fe&*XmKV(b03DLIh8@kx(~`a z|9tmsfDh^mPlcw5(tY~9`hEKSC(!&-GCztTZpg7A`{mGSNYOvP-VL1EWNfdSb=zZ1 zw{tA}vHz)u&YU^Z_}0}NW7$(r9QvjV-S#P8O`$O5@_8ao=@Yzy6&@SaoWPTJwh?HkxJO7uI<^9u50Sx;kZx`eV+fioO`1m}-KHq41 z4SSo{Xc}I7TcBwK{71H}-_tbK`{#!@%u6HPx%cYYEy;QQQy`v9LTin0)V7bK5rP;xy z+-d0ya(Z+L;MOc7RGvuFHrP(IhGLk3s{f%g3r01@ie;JkHRp+uXa?7-;K5I3pCvB zT{w0#bf?AG&sg{S0?)hqeLZuYe(=(z`@+whqx&L_bKTFL?+fd`AdG=dzt5{*0P1Le z80|p*jXiQ(kQ?T@RvhpH%VRo@anWiIPt*&$nO3V;ow`h|sx@BF>el`` z(_mv1n6|rCV_J0zJE$JjGAp}L=%Ni*fR;8sRV<9FV0DV{;?%^1H3{w~r|OmlYrDBt zFBJC)1FLNAsTL+ILG-^>K`_T@kghfEu#f*T_J&6^LMM2&SLVCYj-|EKm*u*LW>D6)`!RR*C={Lu!b4@x`xVL=p5 z@fAXLRO7dUZI%B4VINsx%~(+B?|{BKC#aIa4;tj4Q!$)>yy}QQ&3^^3cJU7jr=&03 zEZI}P1dVp_Pm1^y2jj!tw`5QFV}N0NHP55MsRm=Rpe_p4PfKk+Ab!4XN2-nPYXWo2 z#?U@3?86jDjH~nU3gGQReJS#PN7!Nh7eXO>${%kub?wn4AU?>qup@~=htGq`9n~{< zfP(Z0=NJd?eKkI=NxS$veJ}uWm*QNF?`XCDqFxvK@l5Lo#%u4z)0PS9x0_+a;lb8M zJT0dgV|Y%v_!r<9(0ME{(8liw@ry)i z{JsM0S%G(nc_jQ@f&V7(BJ@!{gMxnU7K<{#QRl}a@t0tj2VBKg@vyb6ARr#T6ITxX zz`L#U`4h+0+rhE*FtN!bSHD5K8~BsZyj}_regmrXcEI~P;JZ5D6CLm;JK*niz`yQ* zuYq-H$A41?oOO8lX+<_|X~|eBbJho%Rd^zqKbPJfbn=T*2yH1$)r=6L^hR*BvR2xERm9IkYlM@c@RkNsZ?uqvtBJs*33NxXiiU6 z>zr-}g|h@qCQ^_^I-Q9pBFVT+a_I!XV`CkZKjH6A6meX`+2Oq92}d0iJVEi!A^b7~ zK~Uchh476Cf}lSBkxaObAPDN?cR={<2!fzK`XqcWf*^P@;n_?0G=dQmH$S8tNd^3fR{VqPfNJW|7{7E`JWWH z%Kwz49|XQM4}S?9D|sJ!#eJ#r-zMQQpMtzondh8@%YJ|c7o2YNMfWzn7YL_& zp6(FB>AgVjLBi?15aiyS_b&RUcL&|8q)&aJ&TnA6%?$wrMU6hNkYq%IaPqTD!6|NC X!HNF|3QqigQShaCK;j@2m*(wn6P&Z3 diff --git a/isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.c b/isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.c deleted file mode 100644 index 4475b29..0000000 --- a/isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.c +++ /dev/null @@ -1,221 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/NaNCheck.vhd"; -extern char *IEEE_P_2592010699; - -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_4078426953_2628201599_p_0(char *t0) -{ - char *t1; - char *t2; - int t3; - unsigned int t4; - unsigned int t5; - unsigned int t6; - unsigned char t7; - char *t8; - char *t9; - char *t10; - char *t11; - char *t12; - char *t13; - -LAB0: xsi_set_current_line(32, ng0); - -LAB3: t1 = (t0 + 1032U); - t2 = *((char **)t1); - t3 = (31 - 31); - t4 = (t3 * -1); - t5 = (1U * t4); - t6 = (0 + t5); - t1 = (t2 + t6); - t7 = *((unsigned char *)t1); - t8 = (t0 + 4392); - t9 = (t8 + 56U); - t10 = *((char **)t9); - t11 = (t10 + 56U); - t12 = *((char **)t11); - *((unsigned char *)t12) = t7; - xsi_driver_first_trans_fast(t8); - -LAB2: t13 = (t0 + 4280); - *((int *)t13) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_4078426953_2628201599_p_1(char *t0) -{ - char *t1; - char *t2; - int t3; - unsigned int t4; - unsigned int t5; - unsigned int t6; - unsigned char t7; - char *t8; - char *t9; - char *t10; - char *t11; - char *t12; - char *t13; - -LAB0: xsi_set_current_line(33, ng0); - -LAB3: t1 = (t0 + 1192U); - t2 = *((char **)t1); - t3 = (31 - 31); - t4 = (t3 * -1); - t5 = (1U * t4); - t6 = (0 + t5); - t1 = (t2 + t6); - t7 = *((unsigned char *)t1); - t8 = (t0 + 4456); - t9 = (t8 + 56U); - t10 = *((char **)t9); - t11 = (t10 + 56U); - t12 = *((char **)t11); - *((unsigned char *)t12) = t7; - xsi_driver_first_trans_fast(t8); - -LAB2: t13 = (t0 + 4296); - *((int *)t13) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_4078426953_2628201599_p_2(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - char *t7; - unsigned char t8; - char *t9; - unsigned char t10; - unsigned char t11; - char *t12; - unsigned char t13; - unsigned char t14; - char *t15; - unsigned char t16; - unsigned char t17; - unsigned char t18; - unsigned char t19; - char *t20; - unsigned char t21; - char *t22; - unsigned char t23; - unsigned char t24; - unsigned char t25; - char *t26; - unsigned char t27; - unsigned char t28; - char *t29; - unsigned char t30; - unsigned char t31; - unsigned char t32; - char *t33; - char *t34; - char *t35; - char *t36; - char *t37; - -LAB0: xsi_set_current_line(35, ng0); - -LAB3: t1 = (t0 + 1512U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1992U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t3, t5); - t1 = (t0 + 1672U); - t7 = *((char **)t1); - t8 = *((unsigned char *)t7); - t1 = (t0 + 1832U); - t9 = *((char **)t1); - t10 = *((unsigned char *)t9); - t11 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t8, t10); - t1 = (t0 + 2152U); - t12 = *((char **)t1); - t13 = *((unsigned char *)t12); - t14 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t11, t13); - t1 = (t0 + 2312U); - t15 = *((char **)t1); - t16 = *((unsigned char *)t15); - t17 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t16); - t18 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t14, t17); - t19 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t6, t18); - t1 = (t0 + 1672U); - t20 = *((char **)t1); - t21 = *((unsigned char *)t20); - t1 = (t0 + 1832U); - t22 = *((char **)t1); - t23 = *((unsigned char *)t22); - t24 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t23); - t25 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t21, t24); - t1 = (t0 + 2152U); - t26 = *((char **)t1); - t27 = *((unsigned char *)t26); - t28 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t25, t27); - t1 = (t0 + 2312U); - t29 = *((char **)t1); - t30 = *((unsigned char *)t29); - t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t28, t30); - t32 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t19, t31); - t1 = (t0 + 4520); - t33 = (t1 + 56U); - t34 = *((char **)t33); - t35 = (t34 + 56U); - t36 = *((char **)t35); - *((unsigned char *)t36) = t32; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t37 = (t0 + 4312); - *((int *)t37) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - - -extern void work_a_4078426953_2628201599_init() -{ - static char *pe[] = {(void *)work_a_4078426953_2628201599_p_0,(void *)work_a_4078426953_2628201599_p_1,(void *)work_a_4078426953_2628201599_p_2}; - xsi_register_didat("work_a_4078426953_2628201599", "isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.didat"); - xsi_register_executes(pe); -} diff --git a/isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.didat b/isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.didat deleted file mode 100644 index c265ff32b7709790748a7066f854e08514382f4f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5004 zcmeHKJ7`m36h3NwKWVEKRE&?osY!2>Ch1hQ5yUErx+#}yay6ketxeSi1Q$_1c) zI*H%|1Oa~|Ig$5&;LyOVEy#Z_6^oq zfqYrXugD?b`cjd59{h6K@ns@YhriK@)k@{~36T@vfuZd(aPo zck4wy0sDaG0EIS%zfZf4OTEO&nE9|za9Y~->NeL-t?>GT+6et?9NPS%xqdtQ^l?1O zMeHG#qQ-g4u~t8fgWK_OIT)vX9uIA!8A>XykYA)y;g|fZ$prF%N*@}&?N-;EHOIdM za9#^RSkGgix-Oe)B~|+(k(#BxLH|tv*Uk~n=RFX_6M>xw62?CTl!yDf0>SC z-`DExvDPU)XH{jR&~GX*E!RK=LdMU zx;+0&fzsKN4jiNi+Fwza+ElkP7U#qG0r2dC?t*4t?e2VGnO%_Pm2Z;vqN zTIb94CI(zU)rroNW6Tz(W9uCoKs@oUqIG%mS%V*5|6)6M1-O=8(KAb}_9IwWuU+qz z`n^829rfkt*;jv1&Iw-*_tPsuIgflfdbc$%DCdbUNAK}$InR7K8XHER2FE#|0I2Al zV4t<_hHsnee1Z0B;EgX{*Prn>;M<{O^Q0HgNTu>GXN3RHP`JktU>C3lC{5(d(u7sa zS>rj0=0`{D=+LFC6+L`16Fr>CWRi*a{#?!;j~=s*9n9O=;qJ@%5P?Ojm}Qn|!y3=# zrC{5(Ic6G(v=Qrx^`+CTk389WXu0*!LJ9S?9zGc^x==hHg1c0>5TcZFs8Y(IN-2jc zrN~Vdj$oOwL?W3^C3{kFnRJegTp1l7HoXqB$4DARJc<2peRUd)QU0G}^!FOA7u4U| z8zwk`D;Fp`T`S+wNMOWVjD=ERq;`W%_RMp3zT2L(yXoQHL@hHOOQzyRUpmoi8hu6z PcQcVrcjpQ@YeN12W@q`0 diff --git a/isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.lin64.o b/isim/NaNCheck_isim_beh.exe.sim/work/a_4078426953_2628201599.lin64.o deleted file mode 100644 index 5e9834798b5e64b9617d2b34bdba17a0ccbc1f1b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4336 zcmbtXUx*t;7@u6OUbHnytG3lvjC7F{?A~VcU$G>;OK%UB>v63Jda%tU+a~nxa^39J zD3v~(Cl}Y)g8HCOK3FJ%k48a?LJJo0;e3(ei~1r3eW*~Spi;kYb|#(dWqY6>%+7qj z@B4n=%(vN@$+?n#cqkAcTms}ExvO!Mkk;_sae)pCWCw{5ZRNL+)=49xb)LiS#)k3A z)zB5KJu9ti?Rn|C-mXeN=`gyXw{7XB-aaec1{O_g|D!pS=*xXQ8fW#|2qBSfRC88u zY{8MDIgir}&a*Vr+PD8?k*wiM=$OpkCK5{EIzc%&P1Q^M5TT;N)abZ>EWL(WNOSN|lu zxwOvZOWUEM&I>usnaQmU(WQR9dOb?$+tHj^X}9LgOEKN4N^u=VitgA_PIt~q1#RW3 zcIBwn{)^Z1$zDA>U(_h?1n?H1y>kiPE6imr>SkEE#xlIrM-UST;{60655ea>0(?uP z-mEW-PL-#|YG&n>ftoRA)?~9~%4m7HVVxQ+8!085OR1TBI%%jGHK!_xbUrUv>(#PN zSqK@eH5SZKeYsK|ojh6^oh+3~*>tK{t(sPkUOrQ+5@a-d7#Y_+yNR)}XJYY*spt2{ zQgTYp#$Yn4l1wCH@fouk)5^AsA5fF~f#_jI7I<}r1X|I+o^2zc3-AFUzL(kJ*pG*! zm2ikiFibK0G=6Av;py{3ZwEWWZ*6(wzLk;SQ~<@^X_m*VAA?&i@*pfLP40LKEdU z%YRw~Va(@`F(?ISgW|tq@pO+xM3kH+v4IgVuk6t^YWSM-@K_9?#bghrot+ zk0I+U|3|0@;+;S0-npauZI)=A88Od8(7gHaoeV0~wXI+Px#dadQC+72PxKomzuy^P zf_#Hnf&*uQ^BYuQ#Nj40K!O`~GeU5m3eYdW4dC_P2kH=5;*5u$B`_X`7NEaa*TCzh zfr|d`vn3Q=Gr{i&@gF=mnu9`K=1#kyz~7H=6V={0NPj^+l@8vd&y96BPjT5)`y#)3_aCxjO+h&tGHci{8EL#@bJB<1IqDk;-XiOVwIuAED zC6mvSx@nrYz#d{Wm*$G`8m5=IaNaoj^Wj(aHz?o5wB?eKk}Aiu~H6L66y;e#LY!Dj?q%v%$1{NKRm{~^P@b^ciJ7wi0ufa4vG^Ze|? z^Q+)5@@&O~AXo>Hhl23HFm&PP20v#w&d(XXM{=C+&ms?=0(d^5#OTxIW>cU@&VzHF Q;~t#n#rqiwa)|T%7s6_*Q2+n{ diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/SpecialCasesTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg deleted file mode 100644 index 596464de01471291998932de44ff2db91c9152f1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9797 zcmc(idyL#w6~}KEs4Y@j6%cup*=^~z6go5A?SrAT+bv65SewR{f~&C1&irPibPE{so&3U?%lcj>x?@^ zqbEIk&bjw{zrXW4=id99er3*)fAq~w-}vV7iWe!~CO|3$&`uVj$p|i2tlhHAem5;Qheh zBjB*BHMUTe<-BJ-o@+Hp-NEoqVDRB`JbfBaYr`>mT8}3$OuNO+<`RoJ-C|_Id58IyFiS1w?H0p3@s192OfREtj2zI|e*c}j zmH1pu*qSnr>?iMyyp)6iY)aa@^XX@v*E3&`GTv$DsTZPQ#}&@IwNZO$;jzO<1^$Ed zuhJFa>grj-r4Brv+BmUC#yATGp0t2tQl9Z13w9Vn#(5vOcu)30)N06_C&KyCgtXgY z{P%*BXTC$Kl*2PG6ZVLhxtR2NIfK%f`>W@kXVE_=lIJO^N28rZ&~xWIKC!*TFPCfl81MnOt{~uFM76=xo|(qa#s** zS@`Tf%6UWjPw9v3oU1c6c6T6m8vB^LmHKj@5TjeAJ#u=bJER{_%v#5TJ=2c0%kpgU zArJB)M&a3~^#`T*O7n`NJlxTYEtC2@$(TAo{lp;vFEUwz`Z$@1LR z1drb*-jA4khQ(2`JhwOCq0XzMtZUS-kBH+Q%d_v_@T|5xexKkaCZC7I@g>WnEi`R? z8ujaOaXevp4m82z_lbOn`F?#{9N&}vSo&#=@vV{@3ilr8T3g;+E@hnikhsmpa2?ir zwdkUliRz{9(HK09`p$ZOPev^~^TctM<+-;B9(OPM7M}UyXtO+rn&6>7ni4@vp%HR>0A z=XYAv#xxd)qiA^^R$PyV^R-sWdhX|_)sQ)^&Bk2^*8P75ocnIdHRte0GD9CO&MR1c zTVhGU$LhaLp`0QtXA%tGWQ5;7K9-!3*}}5V!ScRH6wCJ;;s0zYS+MgMkVLWUCFC4o zuaE`H`y)|oYjxPP3A;upTH$z4;S zT&%w_>3$7dD$Duj_Y{`%?6B1O>(ZF?C&FGP3x@t+*+)M1Ma41cFNEcH3>f-@rPn_8 z6~!^>?}UxZf;rb(`sZW+t~e%rUD$*ySbl@gkb?8Evosjf*x!Ur%7UfuVCjjEU8%{K z##(f_EyqgfJ6L++W8Z7BXA0Y)Ik4PqVCjjE&1#bItA*Vlt5hccpGr^Ilgz`x-pAi0 zY>zBh_7h|Dq#pJfVK-_HEcXxM_5++Ky@O+`u$yJU#-vC+Y@&Nj%MXO@)jVrkrL3pd zE(bWs7wj{_ZjleRO$wG?*TX(1>{iWzT_8pL9N=XR-WP;@pN$vFA`S}H_xn!tRg{cCi$RVyO$*SB1S^ zK3KlXNEFK%h;3Qha=JcJAC}K~yhKWUnRE5kbjSnt3j zeZ=L!zJZrHc+XbwaT{~?5r?G@V5u3{^A+47pS7HQB#NbGU|WT~Q$ASEKKXJ+$=C5x zGq8(`-Yp+2XCH}T$?1vju4%~%`$74$ zrJQ|o;Ox8ls;%L<06Qq`O^U&GN)g9PeZkUyusemlSw7emQlt?!FYJKk!LF2&1HXk_ z9pL4Tg|{qhMn2e8Qn1`9K9=t(*uBDL<%3->klFv7-TZ%-n)VoW1yRZ|ooWBiHB#MQ%OZs_X_sVkqu96~A zEWGTgmxTR{Ea#-hj>tJ72@HttqS4$aNAgzb}jj&(P9N3LggdD)ONa5w& z!tttt`(?pyvSSNnU5~-iH|GAV-~m~%o23Z6)Svo-RlBM+(;ne}Tnw54KyMJ7tOW>D^N6^NeQS1?Oqnv$=?>B>Q2Ga~@ zBc4X%KBw>dcz>KTfpDG?=G-3UKH&d9>?7pA8N0K`^I}pLKcN4o6C*tD$nq;&{OA9_ z<5QKCpHHLMy$@$ICT$cSxb^B5f4j&I)96W`p^)3a(t=lX0mD8{#q1({rWWJ9_Xl(q+@ z^0M8-SrademE$+$MsoS_c(QZZDwg$MwJlt&`H^_3n2G0tAc&XB+5VBjP%hJ-Os?## zE^hK^8-|0-u4z|nNpEQmxP4+QXb#yI6bsEEdv2+yG?RJqvX$^{P5X82ru_zKLYkEJ zNw1goN;gR_Yd1A`|L!1DE)>I&lUrY{tzABzNKSdE;=NM_$f6RWBIz+GXk-+)lC=HH zc54s#am_EM2S$Ra)8UY*E){uy4H6lvVfNMo*+GjU&l6C!LDOF@j8*@Xs{1y>zAfvY zIbmQR7*6lbsp{kUv79UA(|P^ftKOT;NFlS!1mmJ82U#YZA5I6wqE>~V{;{B#D`ZVM zHyW71k{K(Re92TwX0&8VLB@>c@@ClUYj_H3ZLWsJpi~&ClyilA_0>##ppqNO#>WTq z+2TYp5#PFOc_P`7l*WtY!T7W%ES=94-SP_k?1!4W*ZJW-sZ`3w zzX8I%e&WdbVrKZnGNx>aiiJ#2Qaz#_Nzs@7v4+#hl^rK8+Oom8BR}ax9zRaU#&h{W zGhWIK<;?`~1o1=|_iZqfN4(~FBw?5B+Hl2qdZ1(`_>(J@(xZXA-RY4^V8be=TARsh z#!Px4bKZm-Oi2v&4`_pw!@onOY$o37!c@od$r6}UM~5G*@Pg#3S}rD)TEY<#u z!TP|d1Ff$OpD+bP5iOz=H|MJ2hR(UF=sy*w_#AU;{@-&S=`X(~O<+E7AAiuC-#z!- zbI(2Z+;i^Z_e-uQEI!SUk)g=Tp!`gsR8F>sq4=kwn4VxsfpaN?6pwPGGFll3%mDm( zSPnscCt-(RE#V+RmkF3f_XdG5c=(Ngqj?H7@JmWakm~EbJmQwa^3^;kAtYxADo3(b zi2RDxB@8cNlMt*!3Mk5vcvNn^D7Rjeldzo_v-b-C#N0ceitb?sgBmk?_$5mC%cG$*Mm7iQyS>4cha-+*} zvcr~FUz2BL^5=jdHF5HpGZ<^Bk6}nr-6QZveM$MZmNe}ce6%uf@k7r)d+9r;JaXrh z+6yV~F#JjVs~zPT!?LD~CESttI|hI4JFZ*w#5*@EoLKnk2M0g&=Jt!OxNYI~OJ94;6 zkw~81N$`WAxJ2|PCBYX0Z_-za^tnmsgGun$B*8CFg5R73|5_6K6)>bk?fNJQeO3~B zV-oy{N$|x<@Qq3E7bd~q$M8dyHAWB9_BTT3G%jo`gr`Oz#pg_ zs+a`59GBM${+|gyyistwB?+JR1)m(jhsG^k8<{=_DSNY2-0%aHb>q@KV2K+U1{FT+^fks9?7o2&u{&|6UHFXOZwyvSt z4|y7@N`sX()r#LgcU}!J!P2^*-_I!mb1UnEfjWPE!)#PJx2|-aKhPK`YX}DF;|aUn%l%a~b1TdI7K_6c6D9~D>nqV3DUbj3`TnV*&PNmGRMvrzRqUTbWom2cf(n!rV|Q7=UtI%< zN`qw;mDO|O8yMGY>Z!7Zx;knA`j&T8U4U_S4J$%2!ZN7A3XG_XVvs{YPnOj#K(`S}blberN_1oZeNl>x*{~4Soj_HWE389m z{Z_l%YPOgiZZ~zS-|2Q+@?B1s)9i9NkzuvD{dRM{)0Uraw<^=7d;Qa<7o6!WnCca> zK&Ya^!b1NP4JT!CanZy{erujJ&o0MNDv03}yXZb6!9OA(d^HHTtjct;AsvfuQ3|@NQneA zYw!nY@GcGhU=7}*!H?45eH#2B8vGOu{!k6x*Zjet$Sbg%$ZVKNPu~dK8wvfzo6$J-faE;@E2_okQtvmcEkG zWFkFXEPWZJ$uxR8Sh|kVWD-5AS^6SMlPUDHv-E6AlL_>+vGjSArmpX4V(F=rrY`TP zMH-M@mVXwhFJ0(U-}W`{*fnK(QD=th zbOa62F4nbe+G~bSeRmoWHce={-=ruFzu3@(B@~KXhNN%t&PGaY`cI~>d1r>ty}dqn zj`2vZ0wd|j@Tmi4fG9fRIYty3Fqw+Dx7WSBWk5b|GpM@rpWi%Z&YV2skxQ8TzUB$5 z??gRRZ*bUp!jWu)o^C}w&quqT-`v(Vg9)U5?NdLEe1^9BLK6aao0P~_+&}#p+7`*i z9V;7Y&w`I4E|I4$mUq)@_f7SqNFL#+u@^x6wt}+@W)w_kt#J9m-rV)R@O5pl zJfG^Dxw`62>dZyD5^0j=?Fu|uz&%LMlvLP8kcX4?3pu*7T+|Wf? zAwz-s&qCv>onG}drht6nm$%Q|T1i_KqVH0;{>L81CO7`{>%9+C<8M_*`gf!g6c z(SSr&?rFw#wt-&bx{S%;tPcDQEL1y+LyxsV&y&Grptm){9WgFhfNt<+Y%k1s$*Vr^ z9s82k-E;Z6o-$ym#Su?yZC2})0lv`HgD}L6>t6O4*L@MT;lEY=0&L8i(jj)_;=uqRT7NSyHx+s_3 zV-SyL$;%g=5z0fQBz|ZV`aTFDiqvP-*NfE;DN@?dcfQaH5->CzgscY4LRK&VGI2vH zSBI;=$WkW_8vBxQ)h5g&)Ja2%)ox!nu>E`<&kFp{Z$@cq3KVMtH#Un4G5L+FURIY4 z0nQuRNRplwK6Sj;xQgBP_8-j_L@#+mM}rx4o;NfGILZpuWvQ=u)%U&X|3%LF93pLp zXDLvhg)e#+Bvdm@H|uElqU{)$oL#8mb)U3#}yrU-K5Y*tPArRgdt6xFCD!X^CGXx{KK^-N$*_?LffOZiw@= zBo296wsHxFxu*@^LfAvp6)yk^F+)d$S}18;^^Dr`ByQ9$nk=!~McmIWqc(&Ng`XYr zalGZefV3~f^btA`Cd~K`24`0NKwwDkY5Li<)DUQl`B^Ku?iP~XxT-^K$>M&N($dfJ zmMt?O2a$|CJS6F0K&7c8`eYF0h1OCBdqc-z+*7x5=gT63#`(Vd5t*}S%ZVAH3C2|e z;Va>x$G(M`2zSa%OgQBsV7QiQz-@Nx5W{$;RpXN9QAg$qk`9iU$xV)#Zn#p7;pw>V zxeEk(2m2kE>{@QJ%pyAn(nL_b5GK0?Cd)dBYt&Zw7*}ZbN#4l3( zA$7nqx-Y`IGB!D&p4LweRxq16Ol7L`h1YVEU3&{iLjzT2qkh7m#v+8O5DUlC9;5N?sgXROw0=SK1 zjx5ZXnYPBBABIj7+cVd(^RC z*ZQgK47hb-i)`_tw{gq zto5BY`nA?KuKJ;^^?z=9Tktn#yFcXg_DqPN^|RS9f_-uKmL4nEv+3=K*LmpwX?pu@ z?%polPWJHwPj7F95L%->_qEtK+NXAJxzX;)^w$4Z7;XB~TQiaGd(+$SB(jlRm~!JG zwdQBCa27)w)}8d^2p%U1Q;s+yqm_JT26j?WVl69iA)Z@U#!o2N(*!XV>$NOsb}Cq~ zvpUIw61p>@>L3fa+o< zOb^neG)VUNfOr;%+TKZ;AQlA0lQqmB|GOt^jIR*Wq|Yj>>Bk_s>EJAl8zkcq8l^et zB~3H49N$8>;>?VlqOybDV^Fu&G~Z#e^e)MmX?`mY)X?Ek8V}Tj*ppD+5FLnd(>$TC zX%2lEt%~!gaZk`3v{1}y*?ISp{ZVs&mbmDep7%&iT3csFJm2*bG$}0j`*x{&e;jc} zSHBkg9k|qsQKQywPkf0a*w?fDnpG)0)gM9K&{Tk$V>QcK`Fwca zwfO(J&0yPeWGn2M%ecJ;TG@P0kMb5k1g*o)fUUrl?Iwr5FFndjZ>{awX7GN`3b#yc z`RQ4QZ|PZw#m|s^{J@*R*Fp%b(H3uxjiY^PGngCgo@@qB-K2Nb>^bvfkMbTvVSsHMVq%O3$=UQObV%>3Y3o6uf77BhhJ$qx z0p7GI4n4FTcSX(54Jil};_YZQhXTV6BIYk%6v!7Z+G05DloEk@+K}S##$C88=-iK{ z1gx}yf+tnz`Y%8;mBWO zYXYVqNPiM0b9gh3^n|=FmbZc$ig(~nr98RQTQI&51kdmV1&K_v@&^gB_c_#MOK@Kh zI+D%FgScmNa%1=rl-RjnacE;BaD0MJ?PC-4UqVFf&^fS!SR5P*v-c=M;w3zYFcT%z zgVZy#)YE$3jDt!Oy%|T%gjRDQd=GnGKeUWm2ff+|bvSF3RBhH#it+@#Ir`K@d_<-| zpq_I;5eie&jH{mK`{yCn(r{KL-TW3dkmd20L5QXHO}yteqT2YEKB%R%QaBFpqw_Z~5x+Ya z2NNo8V|RE)KTHCJF6n(ne*y8rNL@>Paw0bI*}94^5_ENt>ezO9CL2WR^LPz;h!I7* zkr8{#g!UJr=?6B^aKPre%IP6G`z^*jtpwzJ+8!$Eu^P%rE|s`-97e+yMG zm?#-g=Wv|pGmL8V`Yxa$0x3lANLI#h&@mB+o%or!)sFBz**#iFuev$~zsThq$huf> z6yb{wVuPKOh4K0iXrNeKD@Hl#4amO(2}{{d@~AC*l(St5e4_#z<$q=b>^-RvHtg>K zVQ4LTxn=D_DkPTWql~N8Ql84}DAYptH0rk)!>hpIjLal^2@mV zc!Ty?l9@G!4|&!|-H@-PI%7kgMk^ZI#ef{^TUlx?N3LUIU1bpz3S5mmesVx&=?&4V zG{iEbd6nkn$Dx9_qXX8`WbyZIkb}#5#*bd+C42RTtiX|Yg7YU{ym8(rIKN+@zKV0P z523?0kbv_^d0w?g|B_A-kyB(R6J}2_uEY&{N$23n;oKdC8TjT5dRK=`ig^p%Q|n_6 zg3QLaBP-k49aN$-$2=96gp zp-S!NIJA=p`iuEpM68SXWQ4JoEs3DNnBPIf>=k+u^Jh{4iutT%dmHm>AJfHr(hNp? z!kABbLSDKtpG2mZPw%tIn13zqWz1(?O#5(}nBRna9`mVvEaqPT5w&jM@b&RApH+js zIM%o6#(ZifM!PoVQ|ojwU#NC*%mEa@OGRcuAQAJaX)NY%CuvzrxdWgKeax?=3gR39 zVm>t(_Cqxlho0c!ot?LPLq~~0=4D?KK&7=~{k^rQA#SX*F~BwgS$OYeoS8G>Qw6fO z#8ZXah!^bvk~D0w*@2sc?~Rg{M0lqPM0jU!+EL#cmpJj6xq|gM3mEZ3mh?2fh4uW> z0q~)S=~QRWAbjYz2pbWNeJY!2V&qnU0^LLP^O)X_J2`3-$8>6hKBl+EaL_Ih^cT~& z#)#EeOmBQ)a`owh7+aAPp zlAdDv8iFFGFTp*6L^q)*rc<7}wuzE0bl)eYQ&U+?Z-b=T!8~ORani?hRwq3wQbCN< z`+^Jca(rA&r>5#+y3n;Jen_hjOT=_8KVteel9@G!4{6p&T}*GJ3SvW=4REn|2;IQL zH#_GSrly~lIN_{`+y!oCb8-T{zS-7RD)Mz*Hu`CIas z-36+j*6DFySVQadCs*lWHg#pPm`(P+2Qhm!$iIbk`h5^lJIpIr$H#0|4aMx4s4v}^ zEk?68W>f2QF`F69;?C%ia?;LX_DTr!eXi5#tJ2Us8aDb-Pd-gM>JNVyD)^Sx=`TIR z2kuYn^gg?9Kel!HUmhUu-Z!R;0lg2c)1QQ-+QGi?L6Te_(Xp1P^OKo67LN+j~@ zV%Svvr*(R4Z@vS{`3~0UTYsmE`4mBu#eC|+J&5@v^0%-~UkeenZlGahe9ULne5dR5 z%VQ3}L+kW45a>Hvr}OZh);j%XztxZRy)WRmM+I;--1AWp5{9JK;oSKI{ocCxj*RLW~UzMFMR*BPXGSS(?_)HVzwC3 z3HR2;v_9RvbqfFA!a6+zB5GsyCZxaL^YpKdkn41Io~}TE?{l3_U#XtvI=$g`47P7+ zoj#U$Vcz}II{in#PS0DOIHrpM9Us$aQnCjzo#qAK-g){6NU9y|+nD6~n9k~?m|lX) z)7^Wg#-@Fq{zUwc9||$PmvuTD>wC0Lzw$PyApLdvG?1scP9GyUYc}M`Hj=H=|9va> z7rlX=x~acF|I<1h8tdm7I$Di>2kUgxExMRbfqLIsrw@gQS~u_~q_r`hG!yzx*Xavl z4uI{=4}k#R(K?-n_q5jOH{Yxu>wCXWKb?5}be*L+k3CTO}a6i8mo)xw17!`p6-et(r$?LZLiaL_}-Is`sN$Z+Vt1yH-S9O zb$TUnPJf+#3X#Wcvr~`s7ry_yb-MVyvatQuqp`dRPZ)9xwnIO^9?c6+a7-{M5t5&N zWGnG+*8Im8vit2O<>|4sjd}r7OY<0fT_=9a3eO2QZ^bSBNKNSEqnsuzpB8}#9?!V0 z3(xv~#?lkX4#OMFBWZbcj>{LC>S{GaUWN#r&F#ba?<*$w37ly@l`4PRhRVlK<=rH- ztP$UH&ctAK54hJsb-N;erNJ8BunWHjr>SZ#tEx>@b^hkwpI7>-IAmXp3X0V)o~GY~ zi!20bQFwXoE_}&2ehzGll1W*kD65oZJ(&CG;fm5(sG!}-8Q}+WA49SLX}Wg)oT%w{ zDkJ%f`pMi{Cdy>A+JnF0#&yG5b0Q987IZGjt(79lpBtm%^z(v|&)V=yY*fXGg-TKA zrrZeDrp9$6@Z}`TsQTg)+q-?E(eFs z<`UTi`~np-(VtTl^pk~=32{~I0--M?`+h2Z*e@qCp0St`V}akbW4|XDwqK9!O^nG* z6Zp(nN_IS9i`%3`o~L^`KD+2QrMA;=N_7|GE>eugw(%2F3)xRh`F!|^sgQlW1>!K3 zK1@YJEqsh(#I$fTg8dv`4-@c(#z($^DYklZjd)j^6kZ&Dhz55NEXlYorzn(_%gk+j zWD)3!!)xi6BJs62Fk|DoT~t26%V*;Sl|e9|qR@V#z&UUg@B+ql!Q5;jJQai@jW+(G7&QuCXZL3vXPBKGC>=k}!I& zWqXeFIJ0s`(XVcq=vTMK6ywfZ917;TibD%?Jy5t$c5j6l`eZ}pLU4fT(9cu$_Unx+ zVNiTrQ+{XjR#C(GlELxB0NtuY4(ANU#4{j^h)jGy?_==!q|AMWi#xwhpG)NLkiG{_^vz->L%n9Rey z1g%jGv)Gu5_i*IWG#>6;B>VpQ*%&??exC>J8>z}WAQWD`im;KtjI>@H4(~>)IMhO& z=u_W=XFXTcyWmw~x)~-hr1_g88!muB?LupMJAOFEvoACa{$dLJ#XcE& z$EJ;*qfQxZTsQ5A)+t9sPD90=Ep0*qTX#VOog3Dw2M#c8}Q?y=Fd z=9r%uFqqZqiX6l|+6GTN`;!^_?wB_eoCgwTv{#9Ipi+Z3G_fv|o>Vj9D8I9LwVuIq zCxHRJwz8^7I8@c46?qS%7vNk8&A zexn%n5VxxA02{Bw_n4dzz z&gQn5Gw<^YitLwS5uU@VW-`}QD1NNByEt_0!zYk`eDCQAB(uuey1}IN$>AHDXw)U_ zT+CnbTaHskMQTxyxcrQ`OyXQh;<>b^=5m7I@^j+y^;M+z4ec5(n1V(I3K|d5yvAv| z<7f<#w}B>U3W#Pc(S(}YXi68}a0?udFJz9aY9a0efP||nRC)%$!k~@zv0NMM(B)U0 zyG|P&soODI)IW*pw{tE!ZFHyRGFou)5|@vdynVE>8)#~2A<_Ji)9AIaP0*Z1G`}O7 zKH9i*NxU}x1p|XNZWzP0F_}uva&$;NdVM@&Ztf;xd?X(P^VSHJ48yBDHiHae0o(tJ8)d@}i)b zPBeFN8of5|7c?`7W)ac!(Z;2VIx6fr6xsnZ)HW&ZRxhLuN)g1kJfbb0epT*G6V!xu7|ZXljV2k2Wfs;R->$KrX-HvQge<^W!i`Asp zMoDTeTTzg-F`KyjhI5J6h9Po~peZAoOF2!vHVly_K~qjN(}<>zHqN*_UK@80VcPiF z(Oeq=DtQR!uG2UJoi{yD_u6;_j88||sNJb{9wjk&}n%(>{bakHSQAeu@}qt`~A zps6I9LZa!Tjh`=y*T%BJOdIB-xHc}Ll7l&Soi;jBx8oBqr*>RST%Kb!>9x_Fn#+TN zOBHdsf^*SpqgBw%BbxI$jb0n&f~J~ioJ7+{87$L2m&I%2Vyw-fje$pSZ7iUYZ!sFZHkwkm zV=D@hHZCJBzu{bT+GtPBY~AuevtMX!yCg61ls8Odq%+BibcTun3|Q@i`v#?JZi+9(*n zwDHEFTpO)a@-e0{y*50ilIvGRdBh9xEMJXy*5S(nq@?@leMXjHa-KI zq`8@BI*F!_Ha6AAYvW)8)5aqQb8Y;ZN`^Uioi>!CQfeb8>c54!Oy*p4+AyW&k|(&_ zN?bBH7rizP5HzXz%2`;x2mrt3zeOB~e0ZlEvgJ}NDX}UGGyhSlYwhNke zqIrO5`e@_cOPDr3ejflkfpj{P?D#*tssu|q9}Wb4EgeBav>TDQdL-AHjcOTc>nMLYfBRgwQU&}v(qb+|k9_c~3 zFL4rvZ&NCWj(Ee@yg9??-dXoPoebe9sVX;zPKIzi#7-xJbUL{(*Njbg*Jct97kxj< zGS6HaD!M}voKs90U17?1Qq-sYWyqFp$xql|`+*}={8C`?5ne$o={=+mhV zyn^Psw5IN2f2rSQak=gJc8AsKx8^&o4!6Z@wqCOS6de-tC?3@SrsVH3p57u2uEedY0Ku7SC*FsW!0?QwAw&fWogx< z()vLC^gw;kPgwu#Kt+rTi8rp)Z?@Z=ZkN;IviU7mr`2k6LYg>+<0@+A1;!OOl$DMv znpQZjsIaimX}1-Wmj~*`O(ETOB&EAdW9wAxrcID z>^8IA>~ff`usFNLYOy%;9cjyTUZAd~k5~y=?yz(&9&fNg*e0Imf5J75RkCxF`lN5XyV1{?>Ng9)b>a17vufF8hlz?pzyz*@k& z09yf{1Z)SKgkTT>`~#pF)At<|8~~dT|0)1K18fDXK;&Nuco62n+X0`&T4@mC!=>0z zZ~;!nepm(I=h!p71@La14{QZI8>eNv0guDsGMzte!;=Ox0k6b^1oRMu{RaMQ)qrJ? z1L>Q89gU8{f_vs|kOQ!1IrISdz#XU`aK&AyAMm<+Q9rgRCay&NfWsa@{eT}ljQRlw zK8pGQJJz9oz$czS{n+HW=_%9?`0z8RAFyHz>IaWio!TVrRxjQRm{{(|}e zhrEvZ0d0UCfSUok0T2Hh9wSG{%y|R)2F(0>G};6>2arPPgMb}?+W@x%7XAbDh~ZZO zngF)~dH{C;&IJ6V8}b7_`xeRpegL=~@PfBd4x8JL0h$1J19|}6@4#LF?*VKA%-Ml@ z0Z#&44>%pL3()XxG`b5g|4Wp|k%8wwC=WOVa3&yKBREhpE}N=kH0ER+He|m+ZJ3(n z0*})|rTF4#bR*FeWanIED99ewoaxOr8P;Tv0-_*$kQZ6>w*z$F5Z%P=oTY||*`val z6SGa%W))A0sR`_hbN$a0eHQ<#D53y zB(Ih~_1RazQ@vN?p8D-N>bIrTZ{e(o*<-Gye!FU5LAI-Tzl(UsAxqbBtpCZ+{g-@5 z&TGNv2A!OwZ}Ouz0l%E&WcoJTmJNSE7v(>T{2ch!AR(r~>I_$9HfL3_KB4>_$S+Tl ze-iSu;7ivd|6$N^JD)UkU}^Jy*A5I1SekWRW@b3!01OLesYGuBy#u~9UHWp+uYpf} zRLj3Y!~ceO{x^Z%iPd&jGWwh1>7NDtU$JwOt~{TCeg?Kd)1}YNQj}K~r7!<<(4UQy zqjcq80{SnmOkbWgpbz3?K3(~Dfc_K2q;&N^0^! z5YyAu|4pDb+>yTip9TG0cSfVH!>(iF*DzT#!2TL*0FB?zKwpfx#G$Z@7`=8p8Vzyd zJr{En$9>T#`>Jsk2jL(3;XfVp9S=sMUuxy)hyN1LU$Z)W`PYEnwkCb~cYywg_36t$ z0={+ShV4}*0-knGd*h1XR}_0F|5W7v4f*F$K95T?Wn4NHs9DG#`R8c#Q_4>|FEU(n zK!O<&m0ynXTlca&$@d1z|Een*Eu;Fme5F!8%Kr-aPa%I&Z$4&pl;HEL(U=?WK)zNl zxyZ8t{~7R?VE&-Y&Yp6eVQFSK>)HWV4Q$>o^UMs!g80t@-2}|33vkctze2KS`df(n z3zOtizbr?76Y{n7lU~*U-v&JUhWO-qy)}_uN&e4Je(EdH=$~A41Ux7|rKWjhmL$;y)RG#^R*u1zx&Wl<5K{o?*iR^>&7b5>UC;v(0 zzl8jYg&fTWL#0$O@qYvPqhC#&Pwo2(`4z|~TC)3dP?+!|hQRKD*S4EzP6B=*@DCGx zY@WsEV&qqgk$(WzP8OOlqhGG2^}?N!X^HP%;bFIpw{w_t z2Zt*qZ0Bhbl`cK37D7Tac9jhAFoUG~A(S9fnjR@(6jFB{aYK5g3n77B2McIAz{Bn&e&zl= z&3j8x=xD0m5`oD?t_OuFm=$Exm>SvcopCa-_@gE$l$3|_UYpLkB+XZ}Bz^4R! zQNT9^{7}Ge1k4`lVQh~OFi*gr33#S}7YbM{;N=1?74UWe9~ST_0bdmGO#wd?@EZXW zwJ8y9jgiawNDhau2{`ybp0)~DE?`K&jRNkP&*>cX9FDHxaN@-r`sQ-Dw2Z@`pTpbE z=dk@84&R%`;R|PR_{lFgJi3U(n$tLZS=75|5>JOb9DXkF&rjfK*LV)+yEuH;&f&;> z4riM=G!El%&B+`NJdwj(fp?7I>E>fO{F%VNdNfZTZ{l#8z@KwCPv3JWhw}vfi-URE zH zONURDuVEQ?>l5Qj!XYAkf)1Z5KaQy;@v#_}622qS@9XfX@--~uZcn@1!_x08;_&NV zaky(Chj)wg2m$9bas06Yt`X%GalO2l=XZ(x?ae&>YXO%m;psyJoFMQoT*>jnf5YM1 zEgaeeoIGjLDW)-#&zv#VWXrSVIZZf|vYPWP`KB>b1LY=PX^`Vjw&ssjl)U-{^Ma+b z0fTiMR>-@`>R_O*R>`Zb2?p{CCKjC>ES)P-bE_NjW;aw;m7iQ$uH;dwqO`t3$tzz_ z4SpO3>v+!mKwW)hO?6ztkG#4-RVft^cePbPC9kr&5}(09BR=OKfxH?V8I~$}feQbe zy3%<8e?>XU%9N5<7ObhOM}-_*REA6{K-^K5Nm4qmvJAD?fG0lkSVf%a?0OW%x#he- zbx`?lufxC~1Ahj|$l&330zvax?M1ZO>lh^V!=Efa+TcM7^HzZ{u~hFzR_`slv*1%( z{#1j9;ZGIib6KkQLtoyDtS>NklJ%DuJiySrkpZQcgV_90&eH^1ITJU7@h8i#Hh7T2 zdO{%RoQW=)Z&KT3`B8+#bvFKpM3$HH(=lR#D(9m_OP5}M7Xn9fL0MkT|4!MTkt?Tj z5R+fhNq8~xX>KXga(>w=%9})az5D{LT!tIcsVpz&vnxgUMnNd&-}?41(Uq6;W0RPW zdjw-DPjh~K`K7>-PK3G?xgIDH3k0cWkg!YN|0=NB@{MAC*(l1>8IAUm<#Pn`CO~cZ zoNN!v$;svf5fV^y$#N2P8*a4a<@#m!a!w)GNOGp@SOPcg_@nYt|AWN(YS0QGanU)Z z_LBOOxRtokmhW!&u&nNO2H2SZzvG{>{SvnhH`?;8cY9b?>)o8<4WUP#>g_+7?!XO| zmtxX`9duQ!W zU%neTWV^Eca=o-(ly9fT;ga?(%ja;k(gUb%|I9~9SkBBxI6<=dC7%y<<>h*|NtEBt z*~C6&ISK!zD_`m^$aL34q~6O-4a22 zQ`CO5L6X7!z89%j!GU0Vf64DPqobxF9 zD-+f#^@T&u1No-&CG-mNWT=1AE`)x6OTVg zk$xl|f3PC`Lp**|Y#%Ege~2Q-b3Fb~MUK;WJk18b)f(pNtxFnnMAkblTXpr1nEsooM@z2`IfUisyCx=7%63;!d>X`|rNrQ?%Kewxpa z{I$CNy`JF@R7zHRaMyd?f!jp#KL9+*-y!xhWr3*yPcX(cs zePYfBNO(oyrC+6=T_*hMbtQ~a;&TMPNryi~;HT*D#|XS4@Uq^M1-^YP=P&V2f%oX} z1p?pnD5qbEG+n0)e2K2!=>lJ?!(SlqZ94oMfp6F0YXrVSho|4?BmH#g@QVe$TZg|! z;CJcpHwwH-*Dv%e3h{5!;qL`LQNKSe=sm)2&0IZ7C-7uHa$SC%z(*K;uiub%{(+#s zTdd>RSqjPwMQ|fNa^3%_;FBltavwnA&k}gKUr;9KYXx5JCrlD}I%`kVt_Of8J=BVJ z$#_X;+a!a;_^?ok!a=$|24?-|bBo94DNb*q5c2)sOZunImVEGUL4edjsHG5k=)B;pQ+3A-E&FA^H?OF=L9_1UvR$iGV9<@tq_ zbA!Ok^N!;MuaA=O&%)*o=}qqEA1dgb0{{7e9)^+liy2-JX~053FZcT;{VIW%=N;_X z9F(JHIjCNFenat+E_!}82llC-7aa@yFisNpIf>ziD)L-}J?jLD`HauU(TK2fS?EeZ zFV9`1JdX*yJioYF6nLNEWoZq>!h+;q+2mo!F(T_|ftTkgQV%m2UQ=G&(sRE#n5T_- zyd*Aj9`L3(3{NZ+{N;I!w1-s!FVCB#9^Mvsd9KBt6#}b+vHwHzUwgTSF_!YXfj>}z z)IuIKpIxU3dU^gO`TR=YyL-eGvbR0FaGb3N$$YcTL6r#w%49e$H8 z55x0&?dLQ>FVCfdBJ=7b^eY9uJSUTS`^k z<@p94L& z!smBM@Q({V@?2B4>qCJz3;(8y=6xgZ@*I;r1Bg5;HsHwKa)iCfxIZ5FMB{xH!}nc> z&Q3z#$naRFjPNi?q&++&@bWzN7$N6d0x!>hrJfHmayyjg$x?5pGrTCQ0VRU|+2J0B zT`ux2O+tTj68r;#&(bo^N7_So68fEjUY^rRyEQ?vG>$e3e=hA|n!wBRUfGYW0x!?i zj~DVk$?zhf0rYK{2O5SeO}hC0F7T!V{(P6Ce|Qyrk-duO-3n6nx})ytLaRVVsHVGapE@XOqr< z7Bc#w%C03`9$JUfHCN!}dA`*Da)FoU`0&W=dQsp%xXi=SQg6F}KTzr2KfyGNT~S6a z5*lC}&h;#xZ}?L9E3d%I=MdyPYQDhB=L4jlyiefea}P2uyeaTQT0E>)DW_6aT2B^7}gZB6)U zBy}RS6 zU!V5ZH_Z0q_2vA0yV+rLIP=|3i_>kk`0eI=rwv%ELXIg(K?mN*42~+>g)ZNmf{hZ#7y#C zDDA=v=T5WLVYb;F_?d>7*(5GvvF78^AFIWT_~CHd%{EsWg`94;CEw+AIn7WQJw0U8 zD%bC)hi8Q0kmPu!zRF)lFN^!@N~`Av{55kha>@gZNt$8BEAp^(hz6s;&Vus>*o{Ri#0CE=stT-ia2bI*B>w zo6#i>J9KBmH#Ar=nl+pg7qOz_Ep8Xg55pKOcbZcc!Pv4n(KT)ie~Z&@!INX!4(d0? zGPO*b?)6WbUT~(jV5&DsOM1s|e!c}>4em)h9ul_&W@CXPf&+6}2{>$Stzd}@u`y$H z;+=j6zMR2fj~lD3Hv)4j>+zr)c}tAJxp>ITk8iLjYX}DF(KqZtvBaz`;OW57vgAAR z&F};kdmpQ+lg#-yUnJ&ZbvmGGE8HJsbUUo@)0$3-laVo?Z{Vn^nOj+gZ+5`!IWbc> z3e5hT_;Lli3twx1A*11$xJa*gIqfdH%k0qFiX0~J1t)a!uX zLG-a{he5JJFa-EkC;X%x(_DP5hSo#-PoM9fDik`Ysnic&Cng1T5S3Yte-24qTZ5$}n^`fk`pU}!emr4{^;od1qOy8!5^cf> z*=_XSWyeIs47<)xZBST+IhB`If$%nBql8u<0dRq}z)d3LTd#HzA}x;h$#Rft$gRfd7* zKnNmn@LenTzMH;MvF_+A3Qbcr{uW=m1OH};YgOVxn8jMmm>XFw`51ecbZ8AEaS_bK zV#6L^G~^^-sIkn%g<_LA2WCPv9gg!a{TQI!t>8NcnyE~`OQwFtgu{{VM35v2>}al2 ztK;Od+iebfff0ODKE4kpU#ld{uj*?s2dyrzO4hSZL`=+m@=?-Fktc3SA7^cKf%=-N z2AXI1=QLE8u?a^U0UNK0#bn>jW3wS@V(S942e@-hw_ui3R=XhHIO#i={8O~w7A2IL zaGl4UK;pWgP`iT`1!fDm8>0cM zyt5phy`er(&ITIwIupcAn{gG~r{n$&V4vN-T{Og5_pvofsdQkLkA j=2k2tESQw1EE1c>VR2=%V#VQhYDbmON8;5lv-bZ7m7NPq diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/isimcrash.log b/isim/SpecialCasesTest_isim_beh.exe.sim/isimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/isimkernel.log b/isim/SpecialCasesTest_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index e1d5e42..0000000 --- a/isim/SpecialCasesTest_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,29 +0,0 @@ -Command line: - SpecialCasesTest_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 47173 - -Sat Aug 24 12:20:10 2019 - - - Elaboration Time: 0.01 sec - - Current Memory Usage: 195.359 Meg - - Total Signals : 48 - Total Nets : 239 - Total Signal Drivers : 29 - Total Blocks : 8 - Total Primitive Blocks : 4 - Total Processes : 26 - Total Traceable Variables : 10 - Total Scalar Nets and Variables : 601 -Total Line Count : 143 - - Total Simulation Time: 0.04 sec - - Current Memory Usage: 272.957 Meg - -Sat Aug 24 14:38:35 2019 - diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/netId.dat b/isim/SpecialCasesTest_isim_beh.exe.sim/netId.dat deleted file mode 100644 index 9425deed1651d4222bacbb570cfe732fb9a30374..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 92 zcmXxXM-6}g00Y2=A1Xivdk+oxcfviO$)#e90aP>T5heXmN265=M{(~Y1Sg) zfPxnI>PeDjEgl@8!$R^`w`y@?1l2PTI>OH&dR`+BH8T)8;sjJd7a}<)7s=UEa8~bw z-Zpf^=^Szfh=P{NzBUH(%;YRqR?x-p_8dIT=Kp*K(PI}f5Fb7vE>6HMWiUPUat1O} zuS~$M0#ndZSp&~HJ(sO(iF-N)=v~htH-PZ15{qO<(@Xr^1eYcc5II_oj1mT?L%C%a ztLbOi?DcJnc`57;Fa@o`Uf;FxnJ*DK;$99}0`k{;tuT2G_xiq#GQHOiESBEuhrkrH z)Lu`g4F8>#M^y^uF@iCv(!c0E$sihinuneNVnr8-EWs%-alGN@m|nt%nfv(yevbA* zw_fIl3Sf?^j4}77@`n7l2d*`dGZ?syJ2q_b;Eu;+Su#` zA32zav?8P@`I49T64oeac(uCUXzGR!(T#@RFpZ|~b0`)Ve1~N6^0Lw2O0UF&1QiQN zYVs-J0_f_M%upE)O?}5o{33^g^g@DnNGJk;ITL`kujAoQYN(h)Yd>sA`(rC%h>`?9 zill}Jp{XHDN<}-);YIfi;$rgpVPs-rN6-|N7vHyFaBn+%V1(`c)+#AFKrYXV@Ow~r z{~A%g#hf}hycvJUa)#DU;vVz*864i)I=!&-wY?Jzy5Sb_pUeq_M%Te1w%Lt3;jkYu zi1?%wKUJV;vC{-O6ox}_Jd=m ziFqQ&t>Sev1*=^7I9@IK{PC>1y_#H$x_tlhTB%a4^UQ%G=#k$caUC!huFWjCJeVxF ZJh(i#8gMn>YQWWis{vO7t_I>7_yfm*eYpSt diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/SpecialCasesTest_isim_beh.exe_main.c b/isim/SpecialCasesTest_isim_beh.exe.sim/work/SpecialCasesTest_isim_beh.exe_main.c deleted file mode 100644 index ce18a45..0000000 --- a/isim/SpecialCasesTest_isim_beh.exe.sim/work/SpecialCasesTest_isim_beh.exe_main.c +++ /dev/null @@ -1,44 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -#include "xsi.h" - -struct XSI_INFO xsi_info; - -char *IEEE_P_2592010699; -char *STD_STANDARD; - - -int main(int argc, char **argv) -{ - xsi_init_design(argc, argv); - xsi_register_info(&xsi_info); - - xsi_register_min_prec_unit(-12); - ieee_p_2592010699_init(); - work_a_0557987184_1272247069_init(); - work_a_3914402253_2628201599_init(); - work_a_2347761600_1146481140_init(); - work_a_1540508602_4151211736_init(); - work_a_2912948712_3395701438_init(); - work_a_4189535622_2372691052_init(); - - - xsi_register_tops("work_a_4189535622_2372691052"); - - IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); - xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); - STD_STANDARD = xsi_get_engine_memory("std_standard"); - - return xsi_run_simulation(argc, argv); - -} diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/SpecialCasesTest_isim_beh.exe_main.lin64.o b/isim/SpecialCasesTest_isim_beh.exe.sim/work/SpecialCasesTest_isim_beh.exe_main.lin64.o deleted file mode 100644 index 3084f28a71f22ed35b7df4bd9025e413e8520de9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3144 zcmbuBO>Epm6vtpAVmU25fb8n#07~1Md+F61N_ZP@xA7pz!{-XV>ejFXc(&dGnk9 zn=jil^KPTHFqO+OWjXc&8D?w9$Dyr ze`kMxKlHvGvj5{XKOD6^=I=d(_M5v?&E21(Q6!a#+Fy77i0XHKoPPDSA1LUp{@{ji zgk@B!wrQ6*7u+oKQq|CH&Ri*_*bv;Va^28N)hhFYuJ8lL>pFujV~cZh=ZmKoFJC)T zv@}aA7fHr-(=dytmt?otbb^SV5pi^pCn8k6!a_pr0I!hdF-fg@=vFM6Z z8nd|n3u7?S0;%EEVqXVL*o9c2B^X>4r}9e)mXyA!1W7nS`+Ce0zkL|75y#iU(&(Yq zUy)bHNv|pD^kb1iy(hds#Hx73j}tqv_Qbx5`(GnJY3XU9oE|&$FCUJgZpD=t4pO@R zdx=fzzZMJcq&iF$qfRC~p*zHG?@y?r{wBAQpEweXZ_)~b$CFHTl4nO!Br4{IvmaIC z|6vo_c{b)xg!$+ZeW!=nb0akL@&|SS#%!uRk(jUA56%;x8Agtrs~P+a;>X#a`zl7| zZifDy4E{+5|1yLBoWXBr@RRgv)!0ZfG&_U8l)<+%_+AG8oH*7;ecvkoCPV*AhW@t< zJzL(89oJc(bA0Kyr5^~_cY9(*u4!^hik{iU5kkRnZbt|vAIvLiMr_~5}Ewy6$# z-L}isO4+Db!r*1jtumeMV+ylsSeDMYZ3bQcNR=HdnKjN(LR#0{{X2b -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/TypeCheck.vhd"; -extern char *IEEE_P_2592010699; - -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_0557987184_1272247069_p_0(char *t0) -{ - char *t1; - char *t2; - unsigned int t3; - unsigned int t4; - unsigned int t5; - char *t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(17, ng0); - -LAB3: t1 = (t0 + 1032U); - t2 = *((char **)t1); - t3 = (31 - 30); - t4 = (t3 * 1U); - t5 = (0 + t4); - t1 = (t2 + t5); - t6 = (t0 + 5104); - t7 = (t6 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - memcpy(t10, t1, 8U); - xsi_driver_first_trans_fast(t6); - -LAB2: t11 = (t0 + 4944); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_0557987184_1272247069_p_1(char *t0) -{ - char *t1; - char *t2; - unsigned int t3; - unsigned int t4; - unsigned int t5; - char *t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(18, ng0); - -LAB3: t1 = (t0 + 1032U); - t2 = *((char **)t1); - t3 = (31 - 22); - t4 = (t3 * 1U); - t5 = (0 + t4); - t1 = (t2 + t5); - t6 = (t0 + 5168); - t7 = (t6 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - memcpy(t10, t1, 23U); - xsi_driver_first_trans_fast(t6); - -LAB2: t11 = (t0 + 4960); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_0557987184_1272247069_p_2(char *t0) -{ - char *t1; - char *t2; - int t3; - int t4; - char *t5; - char *t6; - unsigned char t7; - char *t8; - int t9; - int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - unsigned char t15; - unsigned char t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(23, ng0); - t1 = (t0 + 2288U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)3; - xsi_set_current_line(24, ng0); - t1 = (t0 + 7603); - *((int *)t1) = 7; - t2 = (t0 + 7607); - *((int *)t2) = 0; - t3 = 7; - t4 = 0; - -LAB2: if (t3 >= t4) - goto LAB3; - -LAB5: xsi_set_current_line(27, ng0); - t1 = (t0 + 2288U); - t2 = *((char **)t1); - t7 = *((unsigned char *)t2); - t1 = (t0 + 5232); - t5 = (t1 + 56U); - t6 = *((char **)t5); - t8 = (t6 + 56U); - t14 = *((char **)t8); - *((unsigned char *)t14) = t7; - xsi_driver_first_trans_fast(t1); - t1 = (t0 + 4976); - *((int *)t1) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(25, ng0); - t5 = (t0 + 2288U); - t6 = *((char **)t5); - t7 = *((unsigned char *)t6); - t5 = (t0 + 1512U); - t8 = *((char **)t5); - t5 = (t0 + 7603); - t9 = *((int *)t5); - t10 = (t9 - 7); - t11 = (t10 * -1); - t12 = (1U * t11); - t13 = (0 + t12); - t14 = (t8 + t13); - t15 = *((unsigned char *)t14); - t16 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t7, t15); - t17 = (t0 + 2288U); - t18 = *((char **)t17); - t17 = (t18 + 0); - *((unsigned char *)t17) = t16; - -LAB4: t1 = (t0 + 7603); - t3 = *((int *)t1); - t2 = (t0 + 7607); - t4 = *((int *)t2); - if (t3 == t4) - goto LAB5; - -LAB6: t9 = (t3 + -1); - t3 = t9; - t5 = (t0 + 7603); - *((int *)t5) = t3; - goto LAB2; - -} - -static void work_a_0557987184_1272247069_p_3(char *t0) -{ - char *t1; - char *t2; - int t3; - int t4; - char *t5; - char *t6; - unsigned char t7; - char *t8; - int t9; - int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - unsigned char t15; - unsigned char t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(33, ng0); - t1 = (t0 + 2408U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)2; - xsi_set_current_line(34, ng0); - t1 = (t0 + 7611); - *((int *)t1) = 22; - t2 = (t0 + 7615); - *((int *)t2) = 0; - t3 = 22; - t4 = 0; - -LAB2: if (t3 >= t4) - goto LAB3; - -LAB5: xsi_set_current_line(37, ng0); - t1 = (t0 + 2408U); - t2 = *((char **)t1); - t7 = *((unsigned char *)t2); - t1 = (t0 + 5296); - t5 = (t1 + 56U); - t6 = *((char **)t5); - t8 = (t6 + 56U); - t14 = *((char **)t8); - *((unsigned char *)t14) = t7; - xsi_driver_first_trans_fast(t1); - t1 = (t0 + 4992); - *((int *)t1) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(35, ng0); - t5 = (t0 + 2408U); - t6 = *((char **)t5); - t7 = *((unsigned char *)t6); - t5 = (t0 + 1672U); - t8 = *((char **)t5); - t5 = (t0 + 7611); - t9 = *((int *)t5); - t10 = (t9 - 22); - t11 = (t10 * -1); - t12 = (1U * t11); - t13 = (0 + t12); - t14 = (t8 + t13); - t15 = *((unsigned char *)t14); - t16 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t7, t15); - t17 = (t0 + 2408U); - t18 = *((char **)t17); - t17 = (t18 + 0); - *((unsigned char *)t17) = t16; - -LAB4: t1 = (t0 + 7611); - t3 = *((int *)t1); - t2 = (t0 + 7615); - t4 = *((int *)t2); - if (t3 == t4) - goto LAB5; - -LAB6: t9 = (t3 + -1); - t3 = t9; - t5 = (t0 + 7611); - *((int *)t5) = t3; - goto LAB2; - -} - -static void work_a_0557987184_1272247069_p_4(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(40, ng0); - -LAB3: t1 = (t0 + 1832U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1992U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t5); - t1 = (t0 + 5360); - t7 = (t1 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - *((unsigned char *)t10) = t6; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t11 = (t0 + 5008); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_0557987184_1272247069_p_5(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - unsigned char t7; - char *t8; - char *t9; - char *t10; - char *t11; - char *t12; - -LAB0: xsi_set_current_line(41, ng0); - -LAB3: t1 = (t0 + 1832U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1992U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t5); - t7 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t6); - t1 = (t0 + 5424); - t8 = (t1 + 56U); - t9 = *((char **)t8); - t10 = (t9 + 56U); - t11 = *((char **)t10); - *((unsigned char *)t11) = t7; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t12 = (t0 + 5024); - *((int *)t12) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - - -extern void work_a_0557987184_1272247069_init() -{ - static char *pe[] = {(void *)work_a_0557987184_1272247069_p_0,(void *)work_a_0557987184_1272247069_p_1,(void *)work_a_0557987184_1272247069_p_2,(void *)work_a_0557987184_1272247069_p_3,(void *)work_a_0557987184_1272247069_p_4,(void *)work_a_0557987184_1272247069_p_5}; - xsi_register_didat("work_a_0557987184_1272247069", "isim/SpecialCasesTest_isim_beh.exe.sim/work/a_0557987184_1272247069.didat"); - xsi_register_executes(pe); -} diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_0557987184_1272247069.didat b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_0557987184_1272247069.didat deleted file mode 100644 index 67b25b6b79b19def60dd7f2e8cf2c2ce9f397c1a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4632 zcmeHKOKVd>6h2xX^;zFm1g%z4L7E4tNeW_WDO%8lv|u-KxhB^pv}r?gt1SpcaHl`O zg+&*x#HEjo3%8;x@dqfVdl!PDQok>EPMY36;)sG4KltvM`R2?yXC`y!w)ZzY``X{+ zUJ&5bYCc7J!RyOKZrAXeX(t*)Uc>KhqsTk3agE4P&|fJs1pVk*ksNq+oyhw2A_u^J za0na+Z-LLimmtw>Y|xwknS#&hkl(!xBFYD7zcGw%7Ab+_hRs`$1I(lTci?B#)rWc? z!oCLcm{$Uu!P6i?O&RV}*SxgLDl=vt?336U!B$n;s?lE#9`f1(Rri!2TH9oB^rVWC*)6<;KdRT`G4uXm#8u)4c2$+8Y z#8LPwz-|Z2|dy%m?~!#Y10rTq{yUpE^*D!#WRxJE_hC`gh@>XP)~s zg?_NEnK+z!0yObuzWpgI(X4`w$$rYX_EN zoclNw<0Q0&V%&u9-kKOop{Z-7t-b$L=l8aD%{=1-_m2pAB6?;6bw<}S7}oVp4(qo5 znxFM5`Ma{M?=@?t#u{lgH=1>!>($s9%pi$Lp*8BA zl7Rym2J;cj&l)p^FtfmX1oJQES8*~Atzk#4Sf{P~M9bP}HMdTs9;S}{xBtct=-)8> zn~2|dexLbwPcyg++zsvn_k*qAL6F}%ey7TQ&MEuuaLyggNhCi~^de`*vTmfOw>#3) z-QAsRPju#T-e{z6qU3euz3gDy<-Cn&b)HmPouk>j6g02z9goJM$I@ww?87#` zu8ntL_>x8J0q5da*}~tq^dGQ^ZQOaTM~bB}-?NzH+a~Gz zg(Mw`s6|N~i5Om|IneJlzpX78)q9+Me&h8%XMdspRptb(FEsE@51~*l6eGPQFI#Yj zy4mbCk;F^dmMi33pK*Tx D2Y19) diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_0557987184_1272247069.lin64.o b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_0557987184_1272247069.lin64.o deleted file mode 100644 index 04f8f6a8911fb4fe4dbcccf40edc0e200dee6472..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5512 zcmbuDTZ~)98Gy(3hL|+iW)sp4(8eV$GAcRi`vuW<&u*MO8{B5KmnKSqgJYk>R`z1q zUI>>$Bm-J=x^2`84+tb4kU%02QHwT_f*Oe+HV=Ks3y(;VDxs8%v_M3VhzS2bXa3#U zv7JTamyXZOH~)3cIWx2STrR)I?Q$^^7yAuc?Ga_{%q^?!0p1*7z0A*y=4W1GA&JCT z_%+@xx3!z^d(RuKheMZ*)>LRY-ztT^0kb}%^{ruZ%X9aw*xAkSM#gsg7lVe~yZ}xA zV#Kg_ai8{|9OwSUi@JaDia~v2;cVm%h;Q%D81`6Z-px~3YQDaO@mdV~?vP+5qrr5Ga%uZEVr=)v;=^Wae5>d?v1zkkNqQjS4v_Pd3Xq0`XSp^x(EZ&CX$Xz@%& z7Tyh2c`CzD^#EL3{ENNw0Y0cNJs+x4N{{Fd>yPM*#+crPCjX$@g=3`XCnpgDp z_7KaUXxQ}Z$6Q9sdGt%o(G?e9RjF5|`^T$Ru~L{CD%7p|gjH{tNX*s; z(dti5HwuTCR&Ugtdzo4_YpS3%tYZzP!3$Af+M#-#X|-AGpn6oxtnEgji#A*VTH4fX zu`s2=+AQ(n?DVuX1MX*L8|9CR@ppUD@JY5ps~I>kRFoRYq9vtm#E5;Qu+zaZjM9E=Zp--U2%DX9*+uL$OrjiG&6*oP^Q7+2@xpTIkXx+Lma$0afcPNaz>XwJI(#0~+)+J)3n)mRaE@_s-&f<~GwBq6)CU6~`xWPEd`GMO z7xjAB7uVZIFkWXbo{pKIey15m4hOal;%QsW7{hhS#lHZ@fZn&A&~?K|Soq<49JF`C z<>GIwGeGlZKo|8-JDBl358C)0A-`Re#`h~=FA3fw=8^c@f?pN92z`|Q!i9bgiia}9 zsq^EJ@|Q5o13txe@vzP95QvAriED>G=iT=C{E~BZcW`VyOuS^0tEHKhT9wcj3=<;s5HwKkmXe!gK1B|IRL)bvf~AMOL-6WGt1r;la?Xnn^Zu zB(k1TVm*b}dJ6IN6r$@XM3|Nz;y>%CR%R*vM<9csdb{WaF_|G#*K(vguUJOhy34SW_3@8n;yOYQ)W`3D_(KRmP#=8~ zKY|bhS0-G0iB}PVpgx|#i64hH3UWL%5q}vW2=br9Mf^VqLDYOMIJhmJ{|T<<^QojS z$EAM-sd0C}`hcRwyhJV>0*3qkJPIq#x>I(N{%O8V3n>U;;r s-F#Glptz$Cyht*lL7e;?P&mbHD4g -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/ZeroCheck.vhd"; -extern char *IEEE_P_2592010699; - -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3496108612141461530_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_1540508602_4151211736_p_0(char *t0) -{ - char *t1; - char *t2; - int t3; - unsigned int t4; - unsigned int t5; - unsigned int t6; - unsigned char t7; - char *t8; - char *t9; - char *t10; - char *t11; - char *t12; - char *t13; - -LAB0: xsi_set_current_line(28, ng0); - -LAB3: t1 = (t0 + 1032U); - t2 = *((char **)t1); - t3 = (31 - 31); - t4 = (t3 * -1); - t5 = (1U * t4); - t6 = (0 + t5); - t1 = (t2 + t6); - t7 = *((unsigned char *)t1); - t8 = (t0 + 5184); - t9 = (t8 + 56U); - t10 = *((char **)t9); - t11 = (t10 + 56U); - t12 = *((char **)t11); - *((unsigned char *)t12) = t7; - xsi_driver_first_trans_fast(t8); - -LAB2: t13 = (t0 + 5024); - *((int *)t13) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_1540508602_4151211736_p_1(char *t0) -{ - char *t1; - char *t2; - int t3; - unsigned int t4; - unsigned int t5; - unsigned int t6; - unsigned char t7; - char *t8; - char *t9; - char *t10; - char *t11; - char *t12; - char *t13; - -LAB0: xsi_set_current_line(29, ng0); - -LAB3: t1 = (t0 + 1192U); - t2 = *((char **)t1); - t3 = (31 - 31); - t4 = (t3 * -1); - t5 = (1U * t4); - t6 = (0 + t5); - t1 = (t2 + t6); - t7 = *((unsigned char *)t1); - t8 = (t0 + 5248); - t9 = (t8 + 56U); - t10 = *((char **)t9); - t11 = (t10 + 56U); - t12 = *((char **)t11); - *((unsigned char *)t12) = t7; - xsi_driver_first_trans_fast(t8); - -LAB2: t13 = (t0 + 5040); - *((int *)t13) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_1540508602_4151211736_p_2(char *t0) -{ - char *t1; - char *t2; - unsigned int t3; - unsigned int t4; - unsigned int t5; - char *t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(30, ng0); - -LAB3: t1 = (t0 + 1032U); - t2 = *((char **)t1); - t3 = (31 - 30); - t4 = (t3 * 1U); - t5 = (0 + t4); - t1 = (t2 + t5); - t6 = (t0 + 5312); - t7 = (t6 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - memcpy(t10, t1, 31U); - xsi_driver_first_trans_fast(t6); - -LAB2: t11 = (t0 + 5056); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_1540508602_4151211736_p_3(char *t0) -{ - char *t1; - char *t2; - unsigned int t3; - unsigned int t4; - unsigned int t5; - char *t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(31, ng0); - -LAB3: t1 = (t0 + 1192U); - t2 = *((char **)t1); - t3 = (31 - 30); - t4 = (t3 * 1U); - t5 = (0 + t4); - t1 = (t2 + t5); - t6 = (t0 + 5376); - t7 = (t6 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - memcpy(t10, t1, 31U); - xsi_driver_first_trans_fast(t6); - -LAB2: t11 = (t0 + 5072); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_1540508602_4151211736_p_4(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(33, ng0); - -LAB3: t1 = (t0 + 1512U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1672U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_3496108612141461530_503743352(IEEE_P_2592010699, t3, t5); - t1 = (t0 + 5440); - t7 = (t1 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - *((unsigned char *)t10) = t6; - xsi_driver_first_trans_fast(t1); - -LAB2: t11 = (t0 + 5088); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_1540508602_4151211736_p_5(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - unsigned char t4; - char *t5; - unsigned char t6; - unsigned char t7; - char *t8; - char *t9; - char *t10; - char *t11; - char *t12; - -LAB0: xsi_set_current_line(38, ng0); - -LAB3: t1 = (t0 + 2312U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t4 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t3); - t1 = (t0 + 2152U); - t5 = *((char **)t1); - t6 = *((unsigned char *)t5); - t7 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t4, t6); - t1 = (t0 + 5504); - t8 = (t1 + 56U); - t9 = *((char **)t8); - t10 = (t9 + 56U); - t11 = *((char **)t10); - *((unsigned char *)t11) = t7; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t12 = (t0 + 5104); - *((int *)t12) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - - -extern void work_a_1540508602_4151211736_init() -{ - static char *pe[] = {(void *)work_a_1540508602_4151211736_p_0,(void *)work_a_1540508602_4151211736_p_1,(void *)work_a_1540508602_4151211736_p_2,(void *)work_a_1540508602_4151211736_p_3,(void *)work_a_1540508602_4151211736_p_4,(void *)work_a_1540508602_4151211736_p_5}; - xsi_register_didat("work_a_1540508602_4151211736", "isim/SpecialCasesTest_isim_beh.exe.sim/work/a_1540508602_4151211736.didat"); - xsi_register_executes(pe); -} diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_1540508602_4151211736.didat b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_1540508602_4151211736.didat deleted file mode 100644 index 7ab54c2f73119cd2147c159fc8e7d1c1dfffdac1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5160 zcmeHKPiP!f82_xbw$`)-t5u1bloEQ%?(EL)COOE)HejKLVtWWu87A4m9rLg5Y}!pJ zv`P;`554wKym%?zMFa&8;z1O|vlp>P4<0-e8i~K(%=mdANuy;?BVb|f&BR_N0E<#n|nm=_F&yS`%jBB_KVyD{s4{~5b=S%`$X0e?>{H9 z2;4j^=z)kjPo!yCIPiUZkL)n4^UQtp#p*J%=&8p_puv<>-hr6`f{YZq--uS($(}o(0&Wx8KQ7MzX4g? zW*+KC3_x3i{$B!`hwpj_%B(*lnB~jg*ZcL%^E-emAr+pLH@kUcb3xG80s2$&@*IWn z#mthQHB%fk#{JZPne%}J#Fn%`Czm4ITF|bcR<>cXhLtv*o zpCRsLlZSm;$2{wEe}8Vk22(0|{rLsPSB#zCXaz~~@_Yo_PI(R@=v9;F|032SK0xka za2>2VV>t(8;FXntY*a?{1L8zDGKx;wXxOOF^kJ zSt@$P>g1$D^^Sw@nuBkgT*WRO0y;_j{<4GrnxlUwoJ(BNySc|n5-vrExg0L8Mh$QrWN7s$R8NlkYmHcB3wAP-Uz@d9l5W$T*2R|HEnQk=%qFu<*dN)> z%+|G3Dn!e!aiO4s`qT%JqAx|H3VpCxMIRLQPbdi52ZdH21QqHzckapFOlDlE9+?ZZ9=6sZ%2@ucwR(Y93#^y*FunP6RA0#=(N~_q;zn1! z`Bn7VkumPM{HNaH&R;y)c5OAI_b}FTS=C#+_}JDfLZtOpEs8z8J(q9lZMt&h)rTNj z>u6qYjpmoaJm5FYn-4Iaxenouzi@3#UyJp)6l>{jRE+Db<_(wq7o`hw`IsWytD5%xW02D!}#D#SJ|5rMSrNlCQuvNubav5cLRL@D+HhE#QXKSFSLg zzeuF{Wk_#r(wC!p>tIx0*0GF38E+lzTh{w}K0CN=YFXdbb9r?8(&6nQ*Hzz8+oe#L z9E7?mr+i1DuC_ygI%9RaKARkyH!Jn>%uv}j?Qzp~45Y@SSyRkKQ$dfH8rF2OY-rhx znpN{THDzS9td`QWfpku()~jWQt1*_WHD=A^$U>!@JTO*D9w?Pc1KCWmS~acYG1F=c z)y&GYa;jEkC}{*p3bFsQ7#xD$jFM3X5-C+nsc9{p=pQw!3BByNd{-*H z6XL=;a|@jwWue7*XzS*U(ev=4BFEnlT0h?Q#p0D%l55aeP^+Y_q~k_%&+f~+r_521J|tM zK+N^KfIf~D`{h}uJjoQ>vD~soHOrhSD~`G7Fa;iPnJJUD%@nJF4RqI(d}mXGCXV3_ zP*Y|amGX=iS`Ffr#_X&)2l8_b$5i0i?6RG5Wm*g{YsQpSo;5}G|E);CaCm$SzD6BZ z5Upp!9hkxE)?r(r4{5S-dImT2WsBw zcn!v4{1jZWofq*>%Mj|6KaN2wz~wi7lSl|Z%(BrqD#xJ{>)!ke0;uv}s3$z_L(ulF z3A%iHzw3D3Ef}uRqSy7HI2a#x*YrYpd}E~wx%j(p#T3Nr7{?V=cegB(TaU5|Hw`^|q|%>SYo zhx5M=E*eks$H(NC>Ukmhff!GPH-Ep}(f4y)Y_L8dj&UA_%Nrlv{Nk@}h7Pc!o`vzo zcfGpr=(mC0y4~G_@%&XHzL}uE-wGq=C#J3tk*=>9WB8p4@i)MAr{CKX&W+$M-6z<9mesH^Gadd_(Yzm@@H?1z!-H?@z#RPePsR9u64h zi*InZMWj6C-Ut&9QHD^D@Lysl{Dn8W*Yj7-y}N@uHp1@h6oJ<7aP>m|WVEhZ0O32} zwPXO_8^9+5_-p__8^Ava;6DcNjqo1$<-a?Cvw#zvQepF^l1-)6e>~_J^G24EO5?_OaqmdUV2gI$u*?&6 z+c7P}JvVnCIB!=L9Mk5Gw&@s^1ZWPJ8mS{=v@4&+isRt1<&XH!8#GWq;KE}t39<L2=I^{s=-a^v9zbar_&HhW=aNB2H&`^vCyr_#UXEp+9O8$8!l9?l1W5 zB|d==4E^y8PJ9j_7;-!_5q}LK7;^mINBjcR(Y*P5wB>Braro?5PGXXm1B!5|FRpPSFPXRi&B!5{4 zzk6sn-vnGVFQ{_EVdz5p9_^pRX`iHTDskHP=zK(+_Q?cSUpuqWK1}-z`BPiC^BoCy tx5EM?M2R_ysc@3_aMF3v!znJFU(ra1=Jl?N+nmahR- diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.c b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.c deleted file mode 100644 index cf30619..0000000 --- a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.c +++ /dev/null @@ -1,180 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/EqualCheck.vhd"; -extern char *IEEE_P_2592010699; - -char *ieee_p_2592010699_sub_16439989833707593767_503743352(char *, char *, char *, char *, char *, char *); -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_2347761600_1146481140_p_0(char *t0) -{ - char t1[16]; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned char t10; - char *t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - -LAB0: xsi_set_current_line(15, ng0); - -LAB3: t2 = (t0 + 1032U); - t3 = *((char **)t2); - t2 = (t0 + 5352U); - t4 = (t0 + 1192U); - t5 = *((char **)t4); - t4 = (t0 + 5368U); - t6 = ieee_p_2592010699_sub_16439989833707593767_503743352(IEEE_P_2592010699, t1, t3, t2, t5, t4); - t7 = (t1 + 12U); - t8 = *((unsigned int *)t7); - t9 = (1U * t8); - t10 = (31U != t9); - if (t10 == 1) - goto LAB5; - -LAB6: t11 = (t0 + 3568); - t12 = (t11 + 56U); - t13 = *((char **)t12); - t14 = (t13 + 56U); - t15 = *((char **)t14); - memcpy(t15, t6, 31U); - xsi_driver_first_trans_fast(t11); - -LAB2: t16 = (t0 + 3472); - *((int *)t16) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(31U, t9, 0); - goto LAB6; - -} - -static void work_a_2347761600_1146481140_p_1(char *t0) -{ - char *t1; - char *t2; - int t3; - int t4; - char *t5; - char *t6; - unsigned char t7; - char *t8; - int t9; - int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - unsigned char t15; - unsigned char t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(20, ng0); - t1 = (t0 + 1928U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)2; - xsi_set_current_line(21, ng0); - t1 = (t0 + 5506); - *((int *)t1) = 30; - t2 = (t0 + 5510); - *((int *)t2) = 0; - t3 = 30; - t4 = 0; - -LAB2: if (t3 >= t4) - goto LAB3; - -LAB5: xsi_set_current_line(24, ng0); - t1 = (t0 + 1928U); - t2 = *((char **)t1); - t7 = *((unsigned char *)t2); - t15 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t7); - t1 = (t0 + 3632); - t5 = (t1 + 56U); - t6 = *((char **)t5); - t8 = (t6 + 56U); - t14 = *((char **)t8); - *((unsigned char *)t14) = t15; - xsi_driver_first_trans_fast_port(t1); - t1 = (t0 + 3488); - *((int *)t1) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(22, ng0); - t5 = (t0 + 1928U); - t6 = *((char **)t5); - t7 = *((unsigned char *)t6); - t5 = (t0 + 1512U); - t8 = *((char **)t5); - t5 = (t0 + 5506); - t9 = *((int *)t5); - t10 = (t9 - 30); - t11 = (t10 * -1); - t12 = (1U * t11); - t13 = (0 + t12); - t14 = (t8 + t13); - t15 = *((unsigned char *)t14); - t16 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t7, t15); - t17 = (t0 + 1928U); - t18 = *((char **)t17); - t17 = (t18 + 0); - *((unsigned char *)t17) = t16; - -LAB4: t1 = (t0 + 5506); - t3 = *((int *)t1); - t2 = (t0 + 5510); - t4 = *((int *)t2); - if (t3 == t4) - goto LAB5; - -LAB6: t9 = (t3 + -1); - t3 = t9; - t5 = (t0 + 5506); - *((int *)t5) = t3; - goto LAB2; - -} - - -extern void work_a_2347761600_1146481140_init() -{ - static char *pe[] = {(void *)work_a_2347761600_1146481140_p_0,(void *)work_a_2347761600_1146481140_p_1}; - xsi_register_didat("work_a_2347761600_1146481140", "isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.didat"); - xsi_register_executes(pe); -} diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.didat b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.didat deleted file mode 100644 index bf5031dac559b8fd9848dbc3c832abc0d5c138d0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3488 zcmeHJJ8KkC6h3NvVn~!Ig2V?}Sjax&X7i|wvS1*EkQ5fmIGMSyW8CbzGaDl+3Z}60 z52Q#b78a69ECd^WKuQG*1q%@iJC*o-vvYPQn>A)Y#fS&Kd+t4t@18mL?qwf!KL2u| z%f2L(9pNZfpyoD<%*XIGn&-BNyxJ=AaGS^npnJPW4w&x{31EMQ`4TV&u9v_Ypl7GZ z2_Oed0Jnhq0A(rKv%YFSenw136t5ANcZn#9nBR@Cup4^3#)pyj46s%`=aI*|@hgS(5sxu+LEnQ`o9gxK$M0c$ zHzs?a-$guXkL&gDZKN1}_fvp6E<9^Jc>c`Q_W%>VgDUi~Kd(Vhzh8Ba4Hf5%b3B1# zuM+$tTECUwNzu<@Zzy$Z(;oJ>jXey;pm&bE@xH46M_PHAN1ToTB}ZewZ{^f|;&F^^ zCx_Y?bsuWwQf>{WkHQCoF|DBQzigZi=sV7LfbVV(un*V|902^lwfw-IcI~Pw>0-HL z(qlEpPLGZk(xZh!VW2-Z;<~1qE?leGSI-uWGnJYtCJJq4N^?3=b&BE{W2}nR*FV&k z$!79HLrE%_^a7_`n+}rX%q9uGktEpj4I7js#VMC6Gsa1hGMw#C5?(dF6_hGTQihqs z%4&hZ^;jkPuJDcIe~6#0m4LB-Mm*murN=AA@o-;l-}n>84=h@(NmERjS(9SK^>TG8 fZCib(asvbTY(A5*ve{fdH;6Hlay{1$!g9X@Yt1(} diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.lin64.o b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2347761600_1146481140.lin64.o deleted file mode 100644 index 52eebb153646075753edc04e16c7ad059dc6b57e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4144 zcmbuCU5pb|6o7Br1u9~91=Lj#n%Iz{GM#q%YtZcMQg${e?1t`YjKE~Jouw0Y+jV9Z zS1_7bAlnQz#7H8>2TXh*$}?{K!?*+<;ejWgd@>98fH5&^MAvg>?q!E*i$+f}bMN`? zx#ygFI&*vHoqS=p-{&JteB>pvqA^NHrE8_%XLtL^deTj#`p-eBnL;8pU&LmyrC+}s zTo7Lt$HdXG;!xvm!LrQ@jo&V9WcEYNk0Wzyz=svMLi{-N*vim_$h)9j&66#__B1a< zPJ$|ej&gf1TK7WF32X(rFY=PL3dbZXYr~=D*AYI1`YTz<+LxX4+gQAMJaX<4Vz2kL z1LA)1fOv2Ly*}}4AmG_4O2!ckE<0o)TY!}VWy^H}tm?7+>oX9fqLvPGM=E84KIINR zska9-g98IEgrkFd$F_%)T$0O#6MQ_u3vnSF-KUnrl43f1M?%;RZtzJ(@g3bqe6u~i zP3zVKPs59Xe6u}5NEF*$p`KDGNOnRuNx2_isQysTd;b1VZ!^#z3ZLo{L(!9~#1LO! z?LWIV6cs~Z7z3OBP*8jr%p-8Inp{Ia#SnkWe=<<-@_)9L+8`#J@#d7Nj6-YcPFrC; zU7b`oQ=K&l2L)CLH*Oe&(`%@pd7R7Muf{>eIko}xxXD^cne;-v#(1eVHKkU;eYIw) z9Mp1$VJfA=bb?xuCv;^>wbT5+w=g)sGOqSG>ZAJao=wZOUD+0!;O=EOa2}p{lqEX9 z2m06zA_T#W1!Wst$OEXjWT)SyxVqk0&%+q{{IB!lFc;$|;BreJjepDyq0jQC%OZWi z+Rgup&VSl7pUq?KD4p-k|3^9>>*f}(J`982nxOqT-1tCvry)2G{s->m&+4xM!(6=a z@6h;cyp*k8!YWY*ART|J~3rmD-%S?@js zXT;KssT#JSp_+1OM%Uq3Ax~;mmEZ|b9+4BN930U2bS_6URaGJX6(Som;)i@Wo|_ZG$LKLGC)3g(G5 zVg3gw_pW!3%iitZpxo>Kn9Cmbbmsqxi{p0#IkEkm?emPY{n4>@ b&KmfyGeSX_w&(*dCRy5HocWpca2EG(>Q8-# diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.c b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.c deleted file mode 100644 index 5f5233a..0000000 --- a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.c +++ /dev/null @@ -1,31 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif - - - - -extern void work_a_2912948712_3395701438_init() -{ - xsi_register_didat("work_a_2912948712_3395701438", "isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.didat"); -} diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.didat b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.didat deleted file mode 100644 index d6eac18c5abbc236107f0f1c471baf32c1113d8c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3692 zcmeH|&ubGw6vv;x5v^7{sx;z7JS4lD-6ZQpOPWJ5h)_WhWm&TW32l>@jhYJL!Jfo} z2M-D!ds5F*JoO(Cdhp;u(L=6+N5M+`zGNqvYywHQ3PStflbLz*e(jst&FhJ^U)PVi zw+PZYz`sNn!SZgRR|*@!+}uNy-$(Qed;~wi$^Ee1OVk86G55ez&;%PmL);l~1ysQc zumV_qV1JZM!ZsGzOWXV*)G>sW3DgBX2P_;RVv4B03D%Ks20RCE!KZ^n-@sY03RqYt z39nhN=H1oy=>71TL0j6RTyJIM=jj##erkUJvoSJOY?{Wkzt zxGnrV$Dr)^_2RHT7Jy+u*#0Y!ark_5Py z)1D`4iMgsMl-z}^>kB_y6ovWtyj zmZ@14SA}19SnJ#t n#kgpQI9qU=60T#|x?!7{v~D=bq-~`&-ArcUUdeOoK^cDlGJH)h diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.lin64.o b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_2912948712_3395701438.lin64.o deleted file mode 100644 index a7aa5d1261eff0e419265a754b29c8e5a272c496..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1880 zcmbtV&2G~`5MDQh1}IVZIUp4zUqGoux3Qfn2@)u6$d6D&q_&72K#P+s#A=;XwxtFx z9D3nWiAUhfYk?bt5(DT=K4td{m59)5n!(ARm3{lqO zL&_(dBI9Tr?614bu3C1rR4H3FbDZi%xoDM~3LSU@HzG)3b0~zg>h*hOq4oI5s#&5X zS~l&XWfvXGF$>##V7A>T!PjhO73;c=1Bmfvn;4V4v9i3BeGhv?{AL^x`e82rG?yiW zhOhm|?SYPhL=UAE@B^1dd=e1~g}Q|H!jRBlj1JN*TA6h%Li=Oi=Of4%jU!H>?zJ#- z`}@Gd$@q}H3|ya!LjFUUkc+K5ugy^tzTB$}FG@q`70^0{p;IwoTkw`a<2vxBV!0vo z9ngqpgfG=IX=qws>n_A`&VS9fAQ#uS;Zfy zU5KDvoH7#Qi}0z2%RH&~ATDi{`a^XTTj%;Be?j4(c`AIpF1de6AA+Ix1Y@WjajQagrqq*a1=+8ah(Y*Je!^T*em5Hi!<&n9Hz&dg%?U6Yjg%^25j zix`A@MAH*D9&f{VRoSn+Pg;QI6`nMo&%w!@o1OLv?bM4nSbzNmFmL2Vgta=|t<4VW zHk&(*UADWq)oCy?2|X6@S6&$LfF*wuxf48Fct(DnC6W_`B7RZ9uL&GGg&fH@1&)06 Goc;o+eWm{Z diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_3914402253_2628201599.c b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_3914402253_2628201599.c deleted file mode 100644 index c03abc5..0000000 --- a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_3914402253_2628201599.c +++ /dev/null @@ -1,221 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/NaNCheck.vhd"; -extern char *IEEE_P_2592010699; - -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_3914402253_2628201599_p_0(char *t0) -{ - char *t1; - char *t2; - int t3; - unsigned int t4; - unsigned int t5; - unsigned int t6; - unsigned char t7; - char *t8; - char *t9; - char *t10; - char *t11; - char *t12; - char *t13; - -LAB0: xsi_set_current_line(32, ng0); - -LAB3: t1 = (t0 + 1032U); - t2 = *((char **)t1); - t3 = (31 - 31); - t4 = (t3 * -1); - t5 = (1U * t4); - t6 = (0 + t5); - t1 = (t2 + t6); - t7 = *((unsigned char *)t1); - t8 = (t0 + 4392); - t9 = (t8 + 56U); - t10 = *((char **)t9); - t11 = (t10 + 56U); - t12 = *((char **)t11); - *((unsigned char *)t12) = t7; - xsi_driver_first_trans_fast(t8); - -LAB2: t13 = (t0 + 4280); - *((int *)t13) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_3914402253_2628201599_p_1(char *t0) -{ - char *t1; - char *t2; - int t3; - unsigned int t4; - unsigned int t5; - unsigned int t6; - unsigned char t7; - char *t8; - char *t9; - char *t10; - char *t11; - char *t12; - char *t13; - -LAB0: xsi_set_current_line(33, ng0); - -LAB3: t1 = (t0 + 1192U); - t2 = *((char **)t1); - t3 = (31 - 31); - t4 = (t3 * -1); - t5 = (1U * t4); - t6 = (0 + t5); - t1 = (t2 + t6); - t7 = *((unsigned char *)t1); - t8 = (t0 + 4456); - t9 = (t8 + 56U); - t10 = *((char **)t9); - t11 = (t10 + 56U); - t12 = *((char **)t11); - *((unsigned char *)t12) = t7; - xsi_driver_first_trans_fast(t8); - -LAB2: t13 = (t0 + 4296); - *((int *)t13) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_3914402253_2628201599_p_2(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - char *t7; - unsigned char t8; - char *t9; - unsigned char t10; - unsigned char t11; - char *t12; - unsigned char t13; - unsigned char t14; - char *t15; - unsigned char t16; - unsigned char t17; - unsigned char t18; - unsigned char t19; - char *t20; - unsigned char t21; - char *t22; - unsigned char t23; - unsigned char t24; - unsigned char t25; - char *t26; - unsigned char t27; - unsigned char t28; - char *t29; - unsigned char t30; - unsigned char t31; - unsigned char t32; - char *t33; - char *t34; - char *t35; - char *t36; - char *t37; - -LAB0: xsi_set_current_line(35, ng0); - -LAB3: t1 = (t0 + 1512U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1992U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t3, t5); - t1 = (t0 + 1672U); - t7 = *((char **)t1); - t8 = *((unsigned char *)t7); - t1 = (t0 + 1832U); - t9 = *((char **)t1); - t10 = *((unsigned char *)t9); - t11 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t8, t10); - t1 = (t0 + 2152U); - t12 = *((char **)t1); - t13 = *((unsigned char *)t12); - t14 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t11, t13); - t1 = (t0 + 2312U); - t15 = *((char **)t1); - t16 = *((unsigned char *)t15); - t17 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t16); - t18 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t14, t17); - t19 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t6, t18); - t1 = (t0 + 1672U); - t20 = *((char **)t1); - t21 = *((unsigned char *)t20); - t1 = (t0 + 1832U); - t22 = *((char **)t1); - t23 = *((unsigned char *)t22); - t24 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t23); - t25 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t21, t24); - t1 = (t0 + 2152U); - t26 = *((char **)t1); - t27 = *((unsigned char *)t26); - t28 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t25, t27); - t1 = (t0 + 2312U); - t29 = *((char **)t1); - t30 = *((unsigned char *)t29); - t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t28, t30); - t32 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t19, t31); - t1 = (t0 + 4520); - t33 = (t1 + 56U); - t34 = *((char **)t33); - t35 = (t34 + 56U); - t36 = *((char **)t35); - *((unsigned char *)t36) = t32; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t37 = (t0 + 4312); - *((int *)t37) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - - -extern void work_a_3914402253_2628201599_init() -{ - static char *pe[] = {(void *)work_a_3914402253_2628201599_p_0,(void *)work_a_3914402253_2628201599_p_1,(void *)work_a_3914402253_2628201599_p_2}; - xsi_register_didat("work_a_3914402253_2628201599", "isim/SpecialCasesTest_isim_beh.exe.sim/work/a_3914402253_2628201599.didat"); - xsi_register_executes(pe); -} diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_3914402253_2628201599.didat b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_3914402253_2628201599.didat deleted file mode 100644 index 5998717b9e52d72d920bf45168f358e8c87b9ff5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5036 zcmeHKJ7`m36h3NwD~hiQD#pj))TB2_({yUB5yS_G3J%KUnq19A8?|XQ0l`IdanQ-d z!C3?!=pZLhC4OIWPrdoQX>zNALl6D#IsbWl|NC!yd+Eft!^@nb z0(n25Uy+@_rP(4k1NiRSsW~E7k@o^vwLqjFI0AId6WI#ilZ7I$feVX7J^@{ekpt`j z4g(e77H|ikd__(>S&Q6le!k`&TqdG80{fJYcPlWil_KkX+<_*F82>xqCHlLB@!o`f z2)tb?@&QNy699!ih1sTG`=y>$ulV(0o8Yw64(cx7Ppt^X!)&Df)(?HAXszjIn=$rB zIg35S&m`xyy0`IRp2oNww6)FSp*GA&QuBrUBDEU7o^Wbc(PYkVa z`Ejw?Po6gb&j^M4nx5p5UlW6W5MVwfjAsdgxUzMbi*LRK&k$l$@eCliszFnq-}M$e z+uQIIQMcOX;ru3A@C>)%xq-ShJ`bKC`P_nMM;o4}sO$E5@YKqBY*u5xn%6nkhUW`- z*7`huOM$Y!Co6G~Vz57AVCqxdNne}~^9RAR3A!7aZT0)}g=cC(S-oxq#unH+d>-{v z&&dC|0^IK~X|?zI`%Qn>Xsy0Urk`&M#q{f2X!?0)DW;!ykYf7(HGiYm=KIC9;olxn z&b7{$>rD*$fNBz*C;ONxPy5z8Hi&#;x}xKvX5T?!+e9!vV~4K**D@%2cB$3B8w(rs z>;2MrFs8n}MvdM9jfd6jH)^<_K?$q5Yt-l+*SfHp`$mo4=c#HQ88w<4MIQpkF~9>< z^lq@tv2I3eogaJ*`x)@u$k+8}{$<2ADA_;KGiRh$o6Z^G|1}iuaSYf5Yyrw+1-m@v zlnTyhLE^>JBW`@pc;1QcI*^O+%H?vIbZV$ja7W|&oPEPZH-EC{TronTR*4!zqxqtE zuIt)oY%86$61|DOY_{{BE1joSJ5Tk>C8yMRdL>%6S2`YnyX>8aFqCSfp;RLcr5bH0 zCE-}ugJUPs=}fji)7zhtO8wZ#`O~8(?O?#}wKA5K%3%LHU!4|xRR3ll14*m%0SzRR zQIgZRa-p)(_wpT$!8Y5WMbmbAWp5;Y;EbF1oKwS2*)1P*%VRdZ_A$5E<5t`rW^m8q dj-AZ*rcw#ZN+)fr&+5mWO=q(`1+U7%Q6duQEV`#C{0&Ss17}Y|qMAz%}KM0gGakG(DX_N#ZL8R@*-qu!~IIK4% zMMXV?pqRz95^#VM2P#!a95G0!;?PP|h(k^&aG_jKB{&qRAS6KLz1bOOyzw>&o;03$ z-}~NsGjDfi#utj(@xDNSa0!s-$fm|oLe}~>$3t{DMD~&hQCEHmsjUnmYU^3-ZfzN_ zTn}ASo3pWXwONa8Xw7o$rUs*1TGNi*)|%&Ie?SyfZT_t~G|-oaJ7JvF8v}$y+JmaI zdTR%cWYu|$W^kUNnbt;Q5v_H3Z6_gG>ytRJ$DJa2j63}?N_Tl$>(fdIjr$_)y^;29 zb<;nrwXTNlL)(Dh5NWSR+IB3=iA;}h9TJBz$7rM-byLFB++08%j)tSn#_0gq%wYo7#=+jZ1+1LTqsl}q2Q;x+o7|EJr$MTwUJ~pJT zTvxA6tIa=oJ)h{*v-L%V#vOyWL(ty20?!rZvNq^uSh>M6ywnvSDg=mk2MBoreBKFw zPl;3;)%nEqqFJgMwGpFXHfGF*ts|<>nH9-gHYH@dQn$_|3_Vpyrqi;bWKz14Rq~3Q z%oGYzxmq@C8jX-dr9N*aw55`f7@saC#*4*bE|VTEmrW}%VN8rv%+eX@Y^6*vqV5xs z#rjv>*vQD!(fHWJ^GBj-DJ|uqFeyb&B~#J(lv$3dhV9}dmIng| zcMpUv!3Tx-A!dtXKN=n^g+n9;!!*NR#t&~UJbAJ2?O?0_tsQUdTp0*X1b{`z0cf*~ zH;nyocyOifLdUl3VLsJ*1+6;AOZEAAa{Q2(1$QhT zYy({~#I%EbT`&>0Gio>pzg`Rt>km*fS!Dk3cGEogOi=K-pgai;^9NB8WY&|Ho4mQ6 z0t3eUul`B!#rz61QBJb_=R^?3eE#TzG6b!c|98xv?zu>0!u@zZ12~So^XCAs6ZgkD zigE%JZ%xoX#E2>*qY8U`XZ}2H6|i3U53mXG^|CM>f3BBd&@2BM%g^(m3P(l9pX28N z!~8NdQKBrrB!V#Jb>sc2fQi(JEd_P0+>diVVE*3MZIbz4;zZVa>+v4oz1IIBTmMPs zhbn#&+@G%>4h8P*Z(l6ePD^R zIP@%m@hG$aePdk%ubT=g^517m7y}P>W8zk)Ex+?vB7k>A`{JHRYv;knRGB?rw8z1}!AN)5T{C-H;EB+xL ze9{Mh-3R~72jB3)iOF3TQ4nH7Ti1Z zT6MuBctzAFbtO}Po12_16iC%HO%tVrJ(Kf)5^yoj?*cC7-2>kj3dR@XLE<8glUiSx6-7vt>0gdkW4F%AXc2i=gu&kcUgaGakre2?Tf-=D=ecna|M dDas5On@xctc@NIxob=#4FW%2kcpN^@e*j)5tw#U= diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.c b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.c deleted file mode 100644 index 7680b4c..0000000 --- a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.c +++ /dev/null @@ -1,1141 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/Luca/ISE/IEEE754Adder/SpecialCasesTest.vhd"; -extern char *IEEE_P_2592010699; - -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768497506413324_503743352(char *, unsigned char , unsigned char ); - - -static void work_a_4189535622_2372691052_p_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - int64 t7; - int64 t8; - -LAB0: t1 = (t0 + 3424U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(52, ng0); - t2 = (t0 + 4320); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(53, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t8 = (t7 / 2); - t2 = (t0 + 3232); - xsi_process_wait(t2, t8); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(54, ng0); - t2 = (t0 + 4320); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(55, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t8 = (t7 / 2); - t2 = (t0 + 3232); - xsi_process_wait(t2, t8); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: goto LAB2; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -} - -static void work_a_4189535622_2372691052_p_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - int64 t9; - -LAB0: t1 = (t0 + 3672U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(61, ng0); - t2 = (t0 + 7296); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(62, ng0); - t2 = (t0 + 7328); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(63, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(64, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(65, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(66, ng0); - t2 = (t0 + 7360); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(67, ng0); - t2 = (t0 + 7392); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(68, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(69, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(70, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: xsi_set_current_line(71, ng0); - t2 = (t0 + 7424); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(72, ng0); - t2 = (t0 + 7456); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(73, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(74, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(75, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB14: *((char **)t1) = &&LAB15; - goto LAB1; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -LAB12: xsi_set_current_line(76, ng0); - t2 = (t0 + 7488); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(77, ng0); - t2 = (t0 + 7520); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(78, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(79, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(80, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB18: *((char **)t1) = &&LAB19; - goto LAB1; - -LAB13: goto LAB12; - -LAB15: goto LAB13; - -LAB16: xsi_set_current_line(81, ng0); - t2 = (t0 + 7552); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(82, ng0); - t2 = (t0 + 7584); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(83, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(84, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(85, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB22: *((char **)t1) = &&LAB23; - goto LAB1; - -LAB17: goto LAB16; - -LAB19: goto LAB17; - -LAB20: xsi_set_current_line(86, ng0); - t2 = (t0 + 7616); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(87, ng0); - t2 = (t0 + 7648); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(88, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(89, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(90, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB26: *((char **)t1) = &&LAB27; - goto LAB1; - -LAB21: goto LAB20; - -LAB23: goto LAB21; - -LAB24: xsi_set_current_line(91, ng0); - t2 = (t0 + 7680); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(92, ng0); - t2 = (t0 + 7712); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(93, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(94, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(95, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB30: *((char **)t1) = &&LAB31; - goto LAB1; - -LAB25: goto LAB24; - -LAB27: goto LAB25; - -LAB28: xsi_set_current_line(96, ng0); - t2 = (t0 + 7744); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(97, ng0); - t2 = (t0 + 7776); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(98, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(99, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(100, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB34: *((char **)t1) = &&LAB35; - goto LAB1; - -LAB29: goto LAB28; - -LAB31: goto LAB29; - -LAB32: xsi_set_current_line(101, ng0); - t2 = (t0 + 7808); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(102, ng0); - t2 = (t0 + 7840); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(103, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(104, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(105, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB38: *((char **)t1) = &&LAB39; - goto LAB1; - -LAB33: goto LAB32; - -LAB35: goto LAB33; - -LAB36: xsi_set_current_line(106, ng0); - t2 = (t0 + 7872); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(107, ng0); - t2 = (t0 + 7904); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(108, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(109, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(110, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB42: *((char **)t1) = &&LAB43; - goto LAB1; - -LAB37: goto LAB36; - -LAB39: goto LAB37; - -LAB40: xsi_set_current_line(111, ng0); - t2 = (t0 + 7936); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(112, ng0); - t2 = (t0 + 7968); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(113, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(114, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(115, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB46: *((char **)t1) = &&LAB47; - goto LAB1; - -LAB41: goto LAB40; - -LAB43: goto LAB41; - -LAB44: xsi_set_current_line(116, ng0); - t2 = (t0 + 8000); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(117, ng0); - t2 = (t0 + 8032); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(118, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(119, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(120, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB50: *((char **)t1) = &&LAB51; - goto LAB1; - -LAB45: goto LAB44; - -LAB47: goto LAB45; - -LAB48: xsi_set_current_line(121, ng0); - t2 = (t0 + 8064); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(122, ng0); - t2 = (t0 + 8096); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(123, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(124, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(125, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB54: *((char **)t1) = &&LAB55; - goto LAB1; - -LAB49: goto LAB48; - -LAB51: goto LAB49; - -LAB52: xsi_set_current_line(126, ng0); - t2 = (t0 + 8128); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(127, ng0); - t2 = (t0 + 8160); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(128, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(129, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(130, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB58: *((char **)t1) = &&LAB59; - goto LAB1; - -LAB53: goto LAB52; - -LAB55: goto LAB53; - -LAB56: xsi_set_current_line(131, ng0); - t2 = (t0 + 8192); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(132, ng0); - t2 = (t0 + 8224); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(133, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(134, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(135, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB62: *((char **)t1) = &&LAB63; - goto LAB1; - -LAB57: goto LAB56; - -LAB59: goto LAB57; - -LAB60: xsi_set_current_line(136, ng0); - t2 = (t0 + 8256); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(137, ng0); - t2 = (t0 + 8288); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(138, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(139, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(140, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB66: *((char **)t1) = &&LAB67; - goto LAB1; - -LAB61: goto LAB60; - -LAB63: goto LAB61; - -LAB64: xsi_set_current_line(141, ng0); - t2 = (t0 + 8320); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(142, ng0); - t2 = (t0 + 8352); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(143, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(144, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(145, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB70: *((char **)t1) = &&LAB71; - goto LAB1; - -LAB65: goto LAB64; - -LAB67: goto LAB65; - -LAB68: xsi_set_current_line(146, ng0); - t2 = (t0 + 8384); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(147, ng0); - t2 = (t0 + 8416); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(148, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(149, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(150, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB74: *((char **)t1) = &&LAB75; - goto LAB1; - -LAB69: goto LAB68; - -LAB71: goto LAB69; - -LAB72: xsi_set_current_line(151, ng0); - t2 = (t0 + 8448); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(152, ng0); - t2 = (t0 + 8480); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(153, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(154, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(155, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB78: *((char **)t1) = &&LAB79; - goto LAB1; - -LAB73: goto LAB72; - -LAB75: goto LAB73; - -LAB76: xsi_set_current_line(156, ng0); - t2 = (t0 + 8512); - t4 = (t0 + 4384); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(157, ng0); - t2 = (t0 + 8544); - t4 = (t0 + 4448); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t6 + 56U); - t8 = *((char **)t7); - memcpy(t8, t2, 32U); - xsi_driver_first_trans_fast(t4); - xsi_set_current_line(158, ng0); - t2 = (t0 + 4512); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(159, ng0); - t2 = (t0 + 4576); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(160, ng0); - t2 = (t0 + 2448U); - t3 = *((char **)t2); - t9 = *((int64 *)t3); - t2 = (t0 + 3480); - xsi_process_wait(t2, t9); - -LAB82: *((char **)t1) = &&LAB83; - goto LAB1; - -LAB77: goto LAB76; - -LAB79: goto LAB77; - -LAB80: goto LAB2; - -LAB81: goto LAB80; - -LAB83: goto LAB81; - -} - -static void work_a_4189535622_2372691052_p_2(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - char *t7; - unsigned char t8; - char *t9; - unsigned char t10; - unsigned char t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - -LAB0: xsi_set_current_line(163, ng0); - -LAB3: t1 = (t0 + 1832U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1352U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t3, t5); - t1 = (t0 + 1992U); - t7 = *((char **)t1); - t8 = *((unsigned char *)t7); - t1 = (t0 + 1512U); - t9 = *((char **)t1); - t10 = *((unsigned char *)t9); - t11 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t8, t10); - t12 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t6, t11); - t1 = (t0 + 4640); - t13 = (t1 + 56U); - t14 = *((char **)t13); - t15 = (t14 + 56U); - t16 = *((char **)t15); - *((unsigned char *)t16) = t12; - xsi_driver_first_trans_fast(t1); - -LAB2: t17 = (t0 + 4240); - *((int *)t17) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - - -extern void work_a_4189535622_2372691052_init() -{ - static char *pe[] = {(void *)work_a_4189535622_2372691052_p_0,(void *)work_a_4189535622_2372691052_p_1,(void *)work_a_4189535622_2372691052_p_2}; - xsi_register_didat("work_a_4189535622_2372691052", "isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.didat"); - xsi_register_executes(pe); -} diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.didat b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.didat deleted file mode 100644 index 3d35f770a0de08e9fe96f606f24277562c17a1d4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6500 zcmeH~No*Tc7{^}|pk*nI*f-I%z=cB`kL}n70Zx-p0tE^wkg^R^d%!~$8;_H^Wodv? zpcLA&71{ztJs@#L;?hG891w~)Z~-I^94dr3aYO^31Vjw0Y38TB$3*feUaBK%q_uj;WXPvR&atEFL%}SOSI9d418bJxl9o z<8qTqd#yfPs?I}QP$V{}=a{yqwc!M=A%5kYhjHyh=qEO+*_WC*mN}u@k}POpex()` zc*IhAxpQdefXJ=ra9x%{c>dP`=kZ?<)-w`E#i_ME!%gy>LZ0*8TCyfVy}U1idhR(& zQ15FO-)%B(*7A8ok-eBgp9F<=3imtvDGeI6uHxBAJB9OV*PK-x-Mu+Q9r%vF3i4niCKDHr+8|HlR^AZsNqvd`Iy?rSV^r9OBa zDs2+;O&~_@JF(Y_nGeLsz1Jw_yFiTiw$lEH9KV3yfQZ~D9JAI#u-z!eC2E)3wcz(T z#Q{+e0|OuqZUOvGzYV0oAlLwgz%bx9%gE6oL zJOCa94}o#86+8?c0gnRyVSOB!;0a)XZ6FOYzy?o(r$82P4*dT!0Vcr|m+@Gf`{yblh655R}uFgOB^f{(z*;1h5R90zVOW4c9aI%5?wDwNGl*`ZA{ zX)823HWC^g85xPkqJx=?T?mck?X+V}4q2}4Zn52B-)y#x0N2lwW|ks;YMY(4W}RF? zIks(^dDDm`js9@|Kr-2SHKo==cC;SixYO2jJ7GKRLaz0=^kgnQ(R!F&!b%tIOk1@| zaC;Tog#xZ;%X`V2sF}A5POgoORl?ooUm3^s%C<}+88(v9L_BP$nVF*6o-0h4m0B|r zNyg&+;b*N(zT^}o#%KR$+T_1E*uzXIXdc-fG@LU~9lleKW yGgbACWKwJ56Q|EE*?sijODJTS(QqOei^K*D!!#msV;~vsj~RU#Cu8wDlJXCmj@U{7 diff --git a/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.lin64.o b/isim/SpecialCasesTest_isim_beh.exe.sim/work/a_4189535622_2372691052.lin64.o deleted file mode 100644 index 4d39ed6c6c7042d167d3330679a860bd48d19b7c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14824 zcmbuF4R9P~9mY3FD`|l=6tDrR9NJ>)*lTi^uc|<u8|@jv!XLO57}ck%{gw54a|A7bF6R9zg4$7d+em0&GmfYDYsvC)ShZtGxpco z+0ptlWUax@&d*t9@63D0#ty5U$6eWv9hu%gWB)6$8TNkKxn2KUiSJnJG-iF zM`dn=KN{UtYvrbDXJ^l`vjcOgJF|UrYVFa(b%$cz*LKP9#-CYTBRxAT``&|@%F~mb z*|{>7&apqfAd}T|JrFuaRLhiqdz(G}(6-sKIo%$swzF4O+hevYYt>TCYL%Mz%DP-f zak(5WJzkfI;4W9dWdbfotSYxsJ2aCXO+FAAP0ZC~M|VwAlNdkn*x53d20hcM3R?D9 zhxPi@^HdClG#0r%RoZY@X&ekbkHv5?(wGeHvIH)EX>>s2m&Q_8Q#Orv)B%PxmbpEP z(y%@d_}H-FVx$oY?y?*%era3@jb9p9xtg+Rysi!aq|xd2EJ~w2_&h!Z7bA_H;4W9g z#V?H&(DGI5uu{dlsc( zeK7EO<0iNmX@r8itbvPP8aG4Zmqy&xluhF~b*(`fJ#NpUG}?pDBLNp9jh^5xYvJNe zqwq`Vg~ppkLDT1I%BJzuS)MeKZqK4LwgsQZI=C2VOaymX4;Q~QHbCQ-M!%~mo5mw& zdeZoe+p{Q*gTd#q5iUj=lfhjE;Nq9YAT)ky47r-JY1}v6lg6;yvnUPg;=t#P5x5v> zgo3+#7A}5iq@eLjBkgL+rm;ocmEydSaeEe}(H?vro8V%k(G%R|7P$DOaVs?5Gz!<0 z&90_w8XK!UX>4(O7NxN*_&jcdi;>1eaF^TR;+Mu9(DiNO#v1i>h+|``+p{Q* zgTd$VIk*^UOa^z^1{c3H?t;dfM&X)rx2q|e#)|iO(inAn7Nuc@0-rbTfs2twD7eeL zaPdoHJ2ZZ2+~;b_rV*R!Nn?lGvnY-BP~bGi;9{iF6Wrx~xcH@!g~l(9ovx;A8qq19 zG{)VYMQLmc1y1Aha52)D2=4L#T>R451&v=C54xJNY0Rzir11r}XHgmlLxIzH2rfn% zlfhjchKpYsk3i#>#ur^p*)(QVdeV5*?OBwDbxGhf9)pXKMku(;Zn*fRu?HG&8igzD zmt0NRG^SN}()hC5vnY-B;PZGKE=C$X!Cjt!i(eW~LgP)Na7~$THGXNl_2<*4S8sui9iG24aisyWXgsMQT~+CdZeN62T&;PZ5qv|X3kEjou zQ~xePweE~x`bcY6pHlsg>hY_$h@R&3bCz<<%DOrJH+6p}C&xr`WRrK!W+t+~eqml^ z=NFdrQ%^MR_#Iz)lTxaFwH7$@)i!Q_XS+|Wl&U|X>pOL%zIxhr`#W5o+N6Gi`moh% zL6}gjJGnVax%+q4DZSh&Kv+U6S9s~K?o&LiUH6~x(8Bl~EcZ*L=D$PFzefvY{_2ue zH2-rHGrxQ3GO6o-RW{Jfxt1Ec$g9fWS8 ztI8cg-W~G1XO+l7o_vbW>N%)#Q}0BL_ORNXsmAX#Cy)IK$gyj-mlY_*kNNPoefV@$ zvXswkAHLFu-{Zq4e7NOvs}t_EMv~#?NOSXh-Vwz|;t^|TeM31>V>v;C74BS|`%^~R zKh&SG;>$W$EQ)o;S1euH)zKaAjxFl!h+CV}{qaFbQ{vtlmKa{lO)-b*@9%){v?${by7A~~z z*5-zmrp9PA(iCrQh_*IGqs@``=+oTPqFh^B+oDYk&Cv+@$RPVt{kN!5uj@~#+uckm zF_ez4OQbWop^l`6dz0yO{MLk;x^8j}lP0G%Ic`p=TWV=F0#y?(=Q@YWIZv9LLFBg3 z;TH;2P4x0>bGUj7musSzpR&Vad7RVBdEDVwX)b!XWIKGF=5l_ObB)90jk`3_U!Xn? z&;MSFxjb`86TOVt(eD$ens7O{IsESeRg-yfoX&~Z9A}&QeMmEXI>0%vt>CPi1*iTi z;M6|@PW=&Z>VFPS{p;ZJ+~DMp3qWm~Ih-v(H8Y2$;H=vP&bm9nsoxDw{j=cI{{Wo& zUw~8pJ8oybo<3{AS$7zm`lrFE-v>_p%iz@i3Y_{k!KwcbIQ8f1TO--c96qYK znL{h|^cewX-8;dl-w#gxA#mz{4Nm=^z^Q*1occ5M1FX!K`b)r>L!0Ji4js_bXDc}C zX2GdH3{L$~aO#hPQ~x$N^=Ih|q0E>13&5#g0L~niYHsGx1wDOsg0t>!aOz(Lr~Vi? z_5T8=zDnPKo8Rw+;M9Kvoc@=AGly=?%^VWY(`OGj>+S`oeiEGeH^8Z%A~z1Incwd@ z;MCWH)4v&<`m4a1!&=SF9EPE%&(q+nyAPcD6X4XJ1gCzc`VR}Ga<~|r`WA5dF9xUn z25{yuqPdyFozT-~KRD|i0;m2IIQ7%?qnUY}hrp?y4^ICj;M89OPW^gt=CDf6$HA%J1kN0GYHsGR8+!V@3eLL6z^Sj3 zn={qS9Oi;k|4DHAF9)Z-2b}sraOy|FnZq8<%^dbZPoGI})_nt<`b+hLoS8!uocb%l z>3=;q^+Vv)-wsawgW$~JY0b?X_CZgd6X2|S5}f*`8KsYh7&!H-!Rem_r~VFb>c_yT ze-fNI?AP4P;SlunIR(zT)AUOq^SrkRoca~u^j`-~eHxtl`@yMy6rB2Rfis80nwvQs zg`PgsNDWf-vv(nW8l<(4V?M|;LPDw&CMK+K~JB$nWb}> z3r_vb;2h^haO&>{r~UzO>c0+7{dd5r{|PvAnAF_N;SK2NbE$q&WgZVvaOwxZInFKM z)b9eP{&8^XzY9+Ni{RA%5}Y}l(A>=7B=q!YIi+@G z93uMPN6Z|q(A>=7I&jwQ1!vvu;H9(E6vr61kM_msyve*brF3C& zWny9^E|Ely7!wzU1T`#-aibxwT(D5$f@KpoXfQF+i2vW4dpoaV36&U6a^`&f@44rm zd)|BV$=t91II+$@dhPo4>t~EHduJJQ?KWc;+}_|G$uw`9ZOo-P#=LS*gRkCa%!doK zQ1X58Iq{th(3#o_=V37znEIhKrl`Pb`H1TZ40}RpOeEhL`3P}7Fcy~JP*@>EsaT=_&N<)dAbdkkp(eA)O!#G!HO9;87c9LSyG z{bMV(EtG$W7=M?I(=Ui6HdhjNYg^@lpDtclE^xbeqj*cQy74Tb(odKkJK}thlhjC_ z*R}qXm_3#yh^g!FgC!TWF$b+uF0)G;_K17MPg;yS7kG4-erY?`)1?2{++9XIs`YP( zkB=MkxNO#Pe~zdJ-AQ@~rDaC;Ddl+A=6OQ)&GLYO(lR6ata5xRhMpCp-l!hV!RxJ6 z%4NFc?3Sbsz4j7GKe!K@K6x+5G&_aeDhZa^L(=~=miHOdBkVRwF!OMT=uH~Sy99bl z*zJ;F-Q04wX>3L|)Fi;2-6b9D{bC3# zd$>NVm7Wp5C+uUA5@wN=^xk2?eB-q7P(&sex2iY0%p8|DCg5}$Sq<3lT zgzSuXwl?u3!7Q;7TpIhk?2LH6uvtm44~l1rIb#|-N6w6V%Y@BIf?X;G%Nf&HW+o$F zyRe%i!SalP<&0_Ua~7KwcC%t&`5uDhjA?91!INWG&GW+cC?+xaP2!B)G3&^|+=1;E zXpeNT%pP&hI34zgKzkJf>t@f*gUid@k@sbRp0?PPlEmo;dD$Ok?!Xp=-6x&AZDO$W zqZLbRP@rcd!Dhq|H8A)1$ji6P5xs)Q>7FfY=bwpAPR;({_?^!FaQgf2 zdgyAK35I--d(Jtldw0)7r_MEE_F@J)tj=Amw>o{_VD($AnLa`G8=L%><2}JX5OeZh z*_;ESR`NP~#pR0^W@57z+{Dr=$xPm=&3@_g{a>~_Z?)~0Dd%sszx;7(Kb*~Z9l06A zcDlPuW(RUJ=$fGw}_4nrY_9HyS%U)C~dV%lz zUKE$|TzM9>`x6RF4I`5 zfK`!eZ?A(;ib!WHdHhZ=B*v%)gP$}75Va+O|lUgN=t*%DnH@=|^3_^c^dmLI^?FC7 UekJadumAAwgZYCm9BAzJH^@G=ApigX diff --git a/isim/SwapTest_isim_beh.exe.sim/isimcrash.log b/isim/SwapTest_isim_beh.exe.sim/isimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/isim/SwapTest_isim_beh.exe.sim/isimkernel.log b/isim/SwapTest_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index 67d1e0d..0000000 --- a/isim/SwapTest_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - SwapTest_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 45337 - -Tue Aug 27 12:56:25 2019 - - - Elaboration Time: 0.09 sec - - Current Memory Usage: 198.603 Meg - - Total Signals : 11 - Total Nets : 34 - Total Signal Drivers : 3 - Total Blocks : 3 - Total Primitive Blocks : 2 - Total Processes : 3 - Total Traceable Variables : 10 - Total Scalar Nets and Variables : 396 - - Total Simulation Time: 0.11 sec - - Current Memory Usage: 276.201 Meg - -Tue Aug 27 12:56:46 2019 - diff --git a/isim/SwapTest_isim_beh.exe.sim/netId.dat b/isim/SwapTest_isim_beh.exe.sim/netId.dat deleted file mode 100644 index 374c0d8cb94b4c1a276362849dbf4c3fb29b776b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 92 zcmXxXF%Ezr5Jb_jT05k}4lV%-oRCxdH<6#b?2bvQ*cngz$H@(NaN&g)k$~Ee{<{{lV{+{|NY%d6!%fiXAPzwN z@##^Xg~SPBfT;4NnXl@?lvzEV%=2q*ZlN3krIiL$pj1d8(P1x&`;tU}Bykkmq#s4x zY5>6{f~}6rneW8Gkm1naBIqKZ0UpK4Zt+jFaVYhM;MthUH=Tw^7_C(u&QVb5b0fX;$x(35!n{-Hu zG{{5b#BV_1SN}nIYB)V|IM~m-oR!nrw!m|J0q2N1b2EL&7I{^e8P^A=xBwJ=1&3>s zqMn*nSvMapj}2P_zAJ)j1UUrTA^w`z_)z+ zMXfInbB@IKoYnQKG$QO;GQOT3cHValE zzql^?`IZyLe95X@zzoUG#*U>vUT3M@xzxGSC$nGEJ$r@t3$pDS_T!_e6vANsN1RFN;X93vFFAv5|;=rCg=2${asM8Ch5EClzR3AL})0N1qRyZ&5DBx2YsejsIp6QY@Eq;A@hZ zn(nL=8XDL0FUfpO#+H>(YtZrgGC!^9T2ffkxVrxeU#CF7r)b+h6)V(p!t+C}%2#}z zn11h(c~_3ViAuus`J=AS9dh%LIO(ozJWW*;eSExT)5hOF8Rz%40kvu@nsSBi1p8w$ zrHRIy-b-fcOfbJ`cNknQQX3@0i!u}fB&Tc%mGtc#^W;fP}DF&!%~!Gn2(qwOG?*+7I`cmvm?C5z$6 zzr!vyn@#>s@=QOH86EjJ<+nxyx5q83Tx6YYgLk_1%Z>VaBVO;0$LVhFY&oGj@}g&h zJp_g?GDqcK`lW$A1xSYd0QT9K#Y>zGg diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.c b/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.c deleted file mode 100644 index 25f09c8..0000000 --- a/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.c +++ /dev/null @@ -1,157 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/ise/gianni/IEEE754Adder/SwapTest.vhd"; - - - -static void work_a_0464846403_2372691052_p_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - int64 t7; - int64 t8; - -LAB0: t1 = (t0 + 3104U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(54, ng0); - t2 = (t0 + 3736); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(55, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t8 = (t7 / 2); - t2 = (t0 + 2912); - xsi_process_wait(t2, t8); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(56, ng0); - t2 = (t0 + 3736); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(57, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t8 = (t7 / 2); - t2 = (t0 + 2912); - xsi_process_wait(t2, t8); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: goto LAB2; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -} - -static void work_a_0464846403_2372691052_p_1(char *t0) -{ - char *t1; - char *t2; - int64 t3; - char *t4; - int64 t5; - -LAB0: t1 = (t0 + 3352U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(65, ng0); - t3 = (100 * 1000LL); - t2 = (t0 + 3160); - xsi_process_wait(t2, t3); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(67, ng0); - t2 = (t0 + 2128U); - t4 = *((char **)t2); - t3 = *((int64 *)t4); - t5 = (t3 * 10); - t2 = (t0 + 3160); - xsi_process_wait(t2, t5); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: xsi_set_current_line(71, ng0); - -LAB14: *((char **)t1) = &&LAB15; - goto LAB1; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -LAB12: goto LAB2; - -LAB13: goto LAB12; - -LAB15: goto LAB13; - -} - - -extern void work_a_0464846403_2372691052_init() -{ - static char *pe[] = {(void *)work_a_0464846403_2372691052_p_0,(void *)work_a_0464846403_2372691052_p_1}; - xsi_register_didat("work_a_0464846403_2372691052", "isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.didat"); - xsi_register_executes(pe); -} diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.didat b/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.didat deleted file mode 100644 index a0d6c8b8d6cb1531215bb79401f13b0551580b91..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4132 zcmeHJO=}ZD7#`dDS&Ow$sQ5vZq6H<{d?y~HMe4^*v`1xGvx`}pCNY~f?Lq88@Y174 z4B&p4#gj+DKTt&R5A>!GpJ#U_9har;LIuS><=J=U{hD{)nN9cIz{ZdExbsK= z-;VMrgaN+j5#qJRpK_e-6{31Xh%K-P|A*ibc}hUe6)btw<|5!(;WNh~3-oIh4NO0=)5qdm$;_ve^UGMGg_Ra}&nHr2up zSQN+A&Dqof=%?36zdq@Ud>2|8?-3)bi|ypp^aT)2ADDbZ?W+yrC28L;!S%>zC@2egUlzvv%Es|-U>N<^F}MM_tmWA)_FuPi-=Fc| zol+Rbz`qmn#_?xI*;e6uSxx>^$@hR@@5l*Bh&@5YQL4Mg{&UWEQU~o}(oSDuC=dtF z9Xg{U6H__ay%J_%m!TEJM{LUlzO`Hb{AiwcS;3RkxybXFS+w@vi&9<7h zNLK2zPSW+9{P6Ix84-4 z<2Yu+Oy^2zBW2`Er8p}um~JhO|6)vkuN^1*f?1zy#R*u98&Iy+%QJCS@B_?-({$^x z&dG1A-z~Ht*jG@jg_A0j(uH&`l^1i^ZoA%`F?Fq(GK_30Rm^2FaVotoOKsN6j_1XS z^jhw$*+3xfa1?m&3I68r+a&JX55}XXH~5pHC;XeO{06TCBiD0hyKjognTczka2A{d gE$m#OJ7vX(Nm;e9( diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.lin64.o b/isim/SwapTest_isim_beh.exe.sim/work/a_0464846403_2372691052.lin64.o deleted file mode 100644 index 2dc3b589ce8f926b01099d6ba33880ad1bd56e61..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3784 zcmbuBU1%It6oBvSChgYNZH%=B8*#uWDYUac*(6)>CuzFP2uo6$hCM6 zX%oetz|zRFF8CsfPX+TLKKNQFv{g%dRq(;bJV}cp^+72r#&ho6vwJg{t)d6+-h01$ z{_dH%cjuj4{%k~17>UAOWStpN#?+q9c!CcnSU)?=^!iURy)}wNZ@qxsojv3F?bug( zJ3Bk*SZ`h!is{YrP@i7E zJ;3w6gL&V}H;QudDr6=lpKm?`&RD+j!_Bdd*Xtj}QENJ@Hz%@NEW%Sko$9HP>B^S=?O%}kYX{r4?4-UV#mTmPv;uLW?Kr2}I{zxpSi1;=Ls)}YzF8E707odc zYgZC;tLDnQ<$4Crj76)YS!Za%Old19JV17inP~JGtl(jzP*rENg_u(KQ9~5iD*q@2_ z72+{A0K<&n*RY?7s~eGeH1cUYro!|bGLQp!nC9Z@dy$Q3y(jW3nEIl*uU9;Ck!h~y z_Jh!q;h{;`Cltj8_I6VfxL52%`aQsk) zBYxip9lE0O;`2dy78>#>P9!-Y>?Fj8!B{7NqR;EN$|75_P?5Lj?_QCvbNp+K5U>#+dg-Ng`xSoZJ4JGM!4DI$qqx`AVHOkiD{bNrD1X8qz#we@>tg@9P{jSi-|3$HKLRW))inV(g*|n_z2ZEWJL+B) zi7tpmjMEQ|@~8UaGYN}-{~=faIT{EUnEFZ~zo^&4e%T3Gf_ULxqM?~!eqj|x-W%o~ zcU6a5+J75>Khfa<2h6!o`#?Eim=aa8gz5bvUr zpWR$sk}`yGl)ovvA)ZqfvfnD_d-sbt%HR_vAb6kkL;fVRZ+wrbCbWkAXI=P>F8sSL z{B9Tidl$~Sd{Afwwqj|csbuzn-yOz^kz&>5o_**bW3Ho{0 z$9w#2N?i6s=QQZ&OG#hO=b(7ygZcx42lG!z9Pdin*YgsW{TmXO^K=Cd#$A{6Wj`NE zT#mabaasRsiOX?C8Td)Z*xLfoJ%(n;>HLdju8+4W-g77_|MhiIpCl^=$YekGJ%d7g Io6i{g8&JeW@&Et; diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.c b/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.c deleted file mode 100644 index 056f514..0000000 --- a/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.c +++ /dev/null @@ -1,207 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0xfbc00daa */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/ise/gianni/IEEE754Adder/Swap.vhd"; -extern char *IEEE_P_2592010699; - -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_2579272516_1004118533_p_0(char *t0) -{ - int t1; - char *t2; - char *t3; - int t4; - int t5; - char *t6; - char *t7; - unsigned char t8; - unsigned char t9; - char *t10; - int t11; - int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - char *t16; - unsigned char t17; - unsigned char t18; - char *t19; - char *t20; - unsigned char t21; - char *t22; - int t23; - int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - unsigned char t29; - unsigned char t30; - unsigned char t31; - char *t32; - int t33; - int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - char *t38; - char *t39; - char *t40; - char *t41; - char *t42; - -LAB0: xsi_set_current_line(18, ng0); - t1 = (8 - 1); - t2 = (t0 + 5109); - *((int *)t2) = t1; - t3 = (t0 + 5113); - *((int *)t3) = 0; - t4 = t1; - t5 = 0; - -LAB2: if (t4 >= t5) - goto LAB3; - -LAB5: t2 = (t0 + 3264); - *((int *)t2) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(20, ng0); - t6 = (t0 + 1352U); - t7 = *((char **)t6); - t8 = *((unsigned char *)t7); - t9 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t8); - t6 = (t0 + 1032U); - t10 = *((char **)t6); - t6 = (t0 + 5109); - t11 = *((int *)t6); - t12 = (t11 - 7); - t13 = (t12 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t6)); - t14 = (1U * t13); - t15 = (0 + t14); - t16 = (t10 + t15); - t17 = *((unsigned char *)t16); - t18 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t9, t17); - t19 = (t0 + 1352U); - t20 = *((char **)t19); - t21 = *((unsigned char *)t20); - t19 = (t0 + 1192U); - t22 = *((char **)t19); - t19 = (t0 + 5109); - t23 = *((int *)t19); - t24 = (t23 - 7); - t25 = (t24 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t19)); - t26 = (1U * t25); - t27 = (0 + t26); - t28 = (t22 + t27); - t29 = *((unsigned char *)t28); - t30 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t21, t29); - t31 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t18, t30); - t32 = (t0 + 5109); - t33 = *((int *)t32); - t34 = (t33 - 7); - t35 = (t34 * -1); - t36 = (1 * t35); - t37 = (0U + t36); - t38 = (t0 + 3344); - t39 = (t38 + 56U); - t40 = *((char **)t39); - t41 = (t40 + 56U); - t42 = *((char **)t41); - *((unsigned char *)t42) = t31; - xsi_driver_first_trans_delta(t38, t37, 1, 0LL); - xsi_set_current_line(21, ng0); - t2 = (t0 + 1352U); - t3 = *((char **)t2); - t8 = *((unsigned char *)t3); - t9 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t8); - t2 = (t0 + 1192U); - t6 = *((char **)t2); - t2 = (t0 + 5109); - t1 = *((int *)t2); - t11 = (t1 - 7); - t13 = (t11 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t2)); - t14 = (1U * t13); - t15 = (0 + t14); - t7 = (t6 + t15); - t17 = *((unsigned char *)t7); - t18 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t9, t17); - t10 = (t0 + 1352U); - t16 = *((char **)t10); - t21 = *((unsigned char *)t16); - t10 = (t0 + 1032U); - t19 = *((char **)t10); - t10 = (t0 + 5109); - t12 = *((int *)t10); - t23 = (t12 - 7); - t25 = (t23 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t10)); - t26 = (1U * t25); - t27 = (0 + t26); - t20 = (t19 + t27); - t29 = *((unsigned char *)t20); - t30 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t21, t29); - t31 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t18, t30); - t22 = (t0 + 5109); - t24 = *((int *)t22); - t33 = (t24 - 7); - t35 = (t33 * -1); - t36 = (1 * t35); - t37 = (0U + t36); - t28 = (t0 + 3408); - t32 = (t28 + 56U); - t38 = *((char **)t32); - t39 = (t38 + 56U); - t40 = *((char **)t39); - *((unsigned char *)t40) = t31; - xsi_driver_first_trans_delta(t28, t37, 1, 0LL); - -LAB4: t2 = (t0 + 5109); - t4 = *((int *)t2); - t3 = (t0 + 5113); - t5 = *((int *)t3); - if (t4 == t5) - goto LAB5; - -LAB6: t1 = (t4 + -1); - t4 = t1; - t6 = (t0 + 5109); - *((int *)t6) = t4; - goto LAB2; - -} - - -extern void work_a_2579272516_1004118533_init() -{ - static char *pe[] = {(void *)work_a_2579272516_1004118533_p_0}; - xsi_register_didat("work_a_2579272516_1004118533", "isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.didat"); - xsi_register_executes(pe); -} diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.didat b/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.didat deleted file mode 100644 index 841edee6bfec4661ca85f67edaa7bedf3559556a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3236 zcmeHIziSg=7=E?>)&jVjj$5&tj z=tea$reJMqtJZO;_a%y_Phd#|!v(g+s5M57r3?`7-_1taj&T@Eihi4n(`O&HD3Et> zuvG(2Gm29&k9BE2^Y|V8IN**Y{Yc0m((kjK1jv0GxC^KhV6g8`2*-B;uK(X4tY-@d z>zNvq#5mU`aqNfsU&D*8Z1DY0_(>l6IQ}DfKE-&r_ZKlZHEwIrYI6z(>!S1@g<4z2 zC(vlUtbGZ7SkF04i+Bg_4ud?G&_q`;IH!+y;UWJhA--wYr}+zhk=fw7KhJaagr}D z-mEN`3pcJ~=Y~b^IbSS3-{bnqrvH5PhqUXttHCi?ay;LpXD&OnjMH&4>}fYz_YDhM cTq@^F`C@L?%w@BMTyCy7Gn27h+ww(z0ppbvS^xk5 diff --git a/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.lin64.o b/isim/SwapTest_isim_beh.exe.sim/work/a_2579272516_1004118533.lin64.o deleted file mode 100644 index c2ec3f3004e09af3fc484c525a9a334112f5c1fd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4320 zcmb_fU2GIp6u#R9yCCdVz*5DZO-g0+3LrCBxv-h5F zzVkbG?%aK;Qr*@S3K1qDvV~mJ7)r>%a&GQS2gn){Bg*XW5#?AG5#`w9I9ysXpFI~j zEAN({mUqb`yN1C+QQC(S1;uvEPOn)sviAUb*AJX3r_l?3MS(d*x?k^(;$t9mIC4vnwPvCsyqTF`YsCv4jI3Ehl<0pFYK2fsP|( zJ6&#HEw?Y9Lf&bt$RWzJSGwiDeyp_r#K4hKY;K(xo3j$VysPz!6NNCZ@tgM=o4bHv z)-Q%Rg(+=H58AuoX$%#Pc72YCet`?1+Fqcwx=-m&!1&@9ecZzQz?HY^ThPm(s_{`J z75k|rr7N&ll1{DmS|o{fsQ7j4WoBCw`@S)n& zh-O+UYU-#qE@;!5fOihHjQ#yJHI>a5Q~6X@%BhklW+bVQO{ay1-l$ow8`3}Cn$-Gr zQ|lkoYt5$KKUk?$^4W~sXlRBLKsY$wAm~pG@JBs)hV5IoJ{nJMe{$Exct*$w`FKi{ zQes+4$CE=^Bd*je7vC)9H-agyb(GKxLnJia6WXw{;J(?iGy!_H*|ci){nS7kSN9pUNsU%}b3+`=@pZe+<7R;B zp>XF7*w8v;1880h2|ZDlHigduqz5jH6F~)}&mG7^Fe2^=L&{!&^1ZPh0UUjL={IUG zfi1>Q!NrS09kzH7<}81-K^cI{&;B!Ne=m|aVRmdBfqOXj=Kmc9SUl#!tM7mt-ku9t)JC}Dtzyk^#2aPyw4sWa)LVj2o+9P z-3&tAn>*e+gUtr{fZoJ7c(MH1^GBLr{O8~(f^yOm(4)E}pI_uHBUjnyse=(-e2N_~ zP*5MpJ6zL32i11IykO~PEj0nXh%)v!?f^4S!Cs{#1i0XPXbqzH8~r3r8l60dufQKwXqG{;0DRJS@m z()H3l{Ki|JHKN{jhiF`rAR zSrNc=I-7Dl!|828t&eN<{i;!Gj%jLZpQ<+-+H{b^Orem^6*9$KPR!&aF_p=29s`m9 zn`|ZrY3B2VbVkgky;(F2{UEeqpKicu)q+G!wV_SGSqi^VD7bCm5#k^GHw8kE6Am8Z zaQJ8D2<{L#XZ*We*x|3_@cSu_d^}4s{uYX3Tzs1t?!J%i9*=)P82(ED|0>1NKhI|y zA?_%>a4|m-Shpz1Uk?|i8=kt7#!+HMu9M1DkayVbtMGoidf;1e#bdkdL aNf&j`8@L;@br7dFkV{=UNWp_MKmP+~T-hN2 diff --git a/isim/TwoComplementTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/TwoComplementTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg new file mode 100644 index 0000000000000000000000000000000000000000..14089f431a30b96acd11bd0877adee42a4d31024 GIT binary patch literal 4427 zcmdUxOKenC7{?EYiYQu9t0KxEk5-Z1PFsr97xcj+K&%!b0m(R>xovNp&aHFrl)^%Y zi7_#n=)w(gAqj@Wm`H*#Zj7!ZF)?9bM0duxV8H?sVocQF|99t{_I4O;P2Bh;-~7MF z|9s~=k9+TYwDharzyEgh(A8_#uDx%J>0e;X)rH11-B8ml!n8KsWK8QV#=Ntnrk8Is zX4jn>DEvTrMk)%fQK>{6TGwc(StfnR2JRIeTvT)J7GN%xo|G<^YC7|=R4pbV)BG%5 zAtiT7n$E4#gw$5+X07aWE-Qbf;>^)qm)j;kV{^OeatGzFQtn1+zAkrOu?M6ICvyIi zHQK@1^EN5@pki=P`bW~eRh4*HG4d2(P9)8FU9r`Qkz##tEG^+FWZqR^jgT6wvr(N> z;;gkC)&vJWwU|#}&dOP5W1MNDI_Jb`v7DPNhZ^+e>ReEu)p9u3Ms+TU^N8herf{f3 z|E|uT3Os5#+@VHwmT3{|E$3Ftp$=!bCk$ga8z;o!Qj6ZW2lc3sc~KL-BrQvyvK+TAdZ8x!%CoNN46gx% zdid1ONIw_(oV4?pF+K8`i}Q0rKiCdAKO~JA`9G@0FSZVQKUW>MhiRnFud1;~&C$=3 z^Qf~`93+hy`OT`aoJC5uNmcf5*TsI^C#}bfVy&vtW$SF0KUW>ziPTABMt-kqY`1lG z$Zu4KJ>#4hzor2yWDY3VErjdd`HE zG5Y4*u&Lqfw?x_^A1?16^7Ks}9_sGYdm{D9hs#|?()R%_JyGL?NPR-M?pw6x!Po0d_Few zyZ=6EoB38!K$5n3q%#C1&Sriz{hz}1?|OraxbGS7YTnJ{=VQ~uYRhN8TCB}pxoW94Jux`YDhm*M5Po7=g0nCH^mp|D&_{3O)kjr|18__-;LJ9reEZ zev?n<@ZI^OZFf%G1;pJc?hitqapXbrAbF7aK;{D3Tadj4dG~_cBM@|;W5j_6&saM~I^yZKcBEf)QXxA$<^7miPq{Bge=@Aad&ZE~z=yl^7+_6Mb)JmqD(+BSJR zhX!)}Lx87z$%`rlFYtZei{fIg6pjXkTsGU?RU1722@^ggs!0vgvaiLo?3cDnv(f|7 z7o@wTJER+0%nUs@=@;U#l0^R9_*%zy_*8p#)}-=}&I-^)HDT4YM^MzdD0DSxccs{y zkNmVhW5@a7l0Q40giLLyisxo1b+H-F(MBX2v@H6(jx`fBxj3At{ltgE!t`~Bbsfz0 zkzs!i^0f9&Yzmd6*{JEQz(UnmutVd3BMAAMH2_(z8Q(kL}bd5sYYfzGLc^} z<3ZVsrH(JTLNljXb1Qxnma1_OmTN08-f%T26}^%_nlBuQf^54t*w)dW?QY+k?er?~ z$lMn+UoP5OVZ|C#(^ff*ZLBaUE6j25Gv-leCl{hxjm@klXtuYtQW%@wnOVCO1*7Ge zOnx{r!$DjKtL4}P<=7wfE2bye$=pOGEcj8>;2e4)ZETWnl;rUKX>do^>|RYzUq^26 X`DgnEhKA}hL;LpBg$nsf<%szUZOZs! literal 0 HcmV?d00001 diff --git a/isim/SwapTest_isim_beh.exe.sim/SwapTest_isim_beh.exe b/isim/TwoComplementTest_isim_beh.exe.sim/TwoComplementTest_isim_beh.exe similarity index 52% rename from isim/SwapTest_isim_beh.exe.sim/SwapTest_isim_beh.exe rename to isim/TwoComplementTest_isim_beh.exe.sim/TwoComplementTest_isim_beh.exe index aacfd9eeb94323097f26cffe8ecdb30612c7957e..61cd68db1d28c0ea048426d50d0f1fee47de3ee7 100644 GIT binary patch delta 9428 zcmai43tUuX-hStdAdWgIBv z)iS~)FQsNlj+&vnl4f@O61UyY{Mudfm)lIKAC{Y}ZO!-m-}4?h%!j-0@8>+{|NO7- z^<40caZD(t^wY740;5Uf_nNW??WoYW9TiS-p<^rsLyJ{-i>W^La8=ux#tWsB=fCRc7k9-=-Axo%+E2QHm!l*UP6I#KV6Pf@n}N?Y@cj*ZvBtX?1((Aq z)GMU}@u>BBD>Lxs>nvl{2EK=ZuQTu=27aS~4`r@SO_M=!eLX!e6)dY2QT_hLmgvKbQm0B z4LsXWASAMnGw@*szOR9|7?>)AI436!IXFY1#N&g`7w-Ef;^YkfTYaW#VrVayZGfJp6S+ zRv^0yX*2lC1aYkj2Gn7Hv5>zfnU;sYK*-;c+>7K~A)h0emV@6ZUu(`@?dguJ?nH})Ai*n)FIJ@Kwhz=`z{PUf44^jGTY z)ba~qswZT6B|qP1sLNSnW9*5$4;ABx1Un9*y6U?^lHUEvtd@UgQZr6Jp0p@(U>3uq zwwhFTNF0m;vB!mxC!{C2WSm}p?){J-JT_*cnVMKRBt73V7NFMW3_rY-#EzN`4(`sI0DiF0}?>q7n_tH9_PRG zEh8m&B|hW*a>!ppm2;1127%jPkhQpr!J*{xUdl6bz0PsdLzM9kaOb!(9y0l`vNX@z z=Jc49ru%sAl-C6HyIkJpoa7D3$~Gwx`QF?qH>bu$VIgCb)&hLD)r<0<9mva`OmM}k z?w?gp>X%j3~&uS~8AgJ!Fq_7i^8Oy2No{ok5UBZcqjac9` zNSN#R*$-yU$$T(#ZsxpWh`5#_4ur}#oFaNERP4^I$OGv)p8a7Mu`JKXEVtj)LVM#6 z6!i#gPTw8t0)fo(i#;>1{$+~$;^fE!vG&Z!16Q#k!xL;6<#gY(8{j~NjU1Eh$xljG zJu}j)m3Jsa3zd@N{>IlADLpZ&CnqV)W6C%hxh4-;%D*+aV=_)gK06PBKNCNh_q#Ih z%bb~+KQj-TVYupkDk&bNxAjHoBM{2>D5_CCbHJ`TR*m{pkC}qPXdQJY@<4R81rAN* zFp3=R6b?n?a6FENa0*!R%K{aWAji>iLOhkIo_rTs9`zxX>W)py@hnJ+&tCQ3N*dh< z5m=?bDHQncbyNxZqk1yYWhef{i1eKu7o(_po=OVy#fvhK^F-N>&KVlsb%wr+!x4Gp zHj3^R(WwAOmS-u$#2Ds<#@hGVbClm4dBB3%3B5i$W9>Pf36Eo{vpo}iS2<>eW^v>b zn1uyNVK*xOX6JUpPk}|38ptHNV!1;LvK_8rT$o~v6yPbGZTZIlVs7R{SeNM z@}nmAOon-{4X1hE0V+>*|Af)K5Q`)F2;H}QYbki`p$-g|>bXk}FsF4Y23hD&lvm5K zoPA85p0%mjv?^*RjKCoIjz3F5CQR*TW4;6mNVh)KJtxVhx{H$9X-GP-&amZvQfv1l zX8K%zp^CQzT(B39U?@i+7u)LuT0^vrHx1!fvyy4$5+$ zmgS`wtt)qtr@h-GtS@6O>PxcBqLQt-+bsQqvRuYaM_RK z0h>mqFOw+^7#^DpBX+Q+>xk88S=yqtzT8c&3%bo>ky#eWEIDMFp=armB+r<{g?SmK zWhs(b?jhHJFbeKVyv$;fS$;*PpOfkToBT_ju3b3_Qw&&7ndDybY^VviXw?TTF`LZN zh`=;rxn%ojw^`g;7CIqliG5dq6x*Y;(V9+f>D~5YxXduFW|Ed-2HE!MHpMV4#n=Br ze~?1;^h;7bMM+_FR$Az`!=HOP&Xq3K{Pn$r%^is{j-*rE?IOaVmALu+p{dfueDAFl zV{^Ug`(b|80`qZXUP|V2JUv()W6M<2j~FHL_ql^y`@wa)#ny`*xs!ayd-$klQe94% zs$F*W41}k#6sPTZ5#&&_VQ0V36yGJ#WFyYQcgd!+3itc!yO$=aruWshUrR9`zmFkWU_hSKPOt`<|!^4FL3qmHJIYp4(S)kD_tX% z*Q>eL^+2DE5jH#kbOcHg4$Z3P31vx2_~NpnKKUyio&4z1 z;-ZC17cMK|UzGK~c}&Kb)U+`vX}71%bBswz&qx_FCe2}Auz11z5+1!ODXWWzy|i!v zTk+`fN9N6+*R3PE!xlfjc<2N2&4l;x1d7cpSPhf z;F{M^5YVv;fr00D2Li)!)7!rXg#f4S4Fu@XC~Q9p0lxS)Mglk+PZ05Vzq;r5C;&LI z6$JplKZ*jv@V1iPJ{o+m{RQx&y0Pzpo5gs|UcBuGr$if zHZ#IfuG||Q5tfO5Ykv9C&-l%V3N8YFe^5N)*MQFlpQwFupfFmXMkwy<#$g}$JmH|( z>h_<24=$Jzd<~uoHWrix{$JoJaTNua6k&OepRX9N@M?ah;!a*r8Rj|_Zp^hDTS~l`GHkNq`mW_;P@CJ>LS@@H{^ShLX&=MI2#aGUk*DX_Kt@oa*8(=ckansfqe%923$JhISVSD+G z9vQ+HKklbB_nId)-0(XMp%Sg851CMUHGtRkH=-5V!h64ci@`X(!!%urPCMwF? z&{S%guMdpIYQJU{$@CHG%3GK|MqT+RrVmnAKAO$Kq{f+JSfRjJwo+gmD-+n4(WJ-a zSeZTsUH#*kJ{Vp31g4KlS3Z&DHgLz*xek4HboB^anDBX5pC+BBXIvBZ3+CzQR(qm8 zs5mV}TO!nnY*qsg-!^qxft*IEW$T1GisjZjbxPo7!Bfz+qanCEse~=`8k0#Yv+A{Cdf=psCp;`GO$+RsPDWR#&UkTI3Fmr@=t`06fjUeuDLa zOwBLsu_feck#|^jQQGS#-4$scf%BH)=qF;P7KV)m--BIt(ZcD{vZ0_AlN?mgbQ$Q% z(@tS8ZV9;33#tw(Xt}i4k63YwfIXMIb_8QW^`7m60#9fxKWHBuzX5+5ya|5`3EuRV z1dqcaD9%;z(HMzKw>v2jJ4$hBLPwf41%0unCXY@=ZxiHjK1$>D=e>s|AAi5bUxQ3- zBcHy*n!QZ+YfUt7!ml+i6W1jW-p_I4DMotlK;! z`F~8(`0r&UFYs3o`D17;ST(^X?P-dc{iF1)b!fiy9!Kp~yybNF_u5qORD;>+6n1*9 zB|K%9wf`omT_A7n;-Lk0M~LTbrWn(o`21bgkg%Ro?te3`C{OU;i*4X-{!9 zRm-T4^FxwvP&Hn!d;`C{%bM^JwDfo70=ZZ8&G_H^me;K*y&+P}&G<7MH9cmgn6JYvOIF;E>cF z2BE`+`=i7VGMxE?*{_rK$H3DZ z70Wr&?;oEC`b9Uc*!Tu|3v=W)UqC zFRz+bkNA83${y+?GMb{eso9z^7)BJQd7Ngc&)5Az`v|n!|JW!HA+Wqfdzz;-TkqK` zeLs=+qTy2ex#Sz=IOu0rC?-A1@SKWcP_#Jk+PZV-R|%8o3U#$EatfPkav!YV@1p9R zQu|pyO{?#uFZt!>(?OrzK7H$qtJ|+<_J>*VLVKzsCH3EaWSdt!FNH1pP4a&-a@^Qp z4nFcBOfs84uk#BbJ^}pK)koI Ib(rb@0KGeX;{X5v delta 8010 zcmai34Oo;_+CK9FhM4>b42+7Sf|7p0fTC#r42JgV+OC$hu9@Kalb9MRYwA}HI9LoL zHgGh(SW7fD^B0;vBQ!-ZHFvwzwk>qcC9m9TCbPx;cFVNS`R?bu=hfGd>|EEp_j&H; z=RD`{{W;@zh3s*Lc+!;X8>;$kcU-7sqUgw&Rmdh>J5bFdL|}ndk=XQrCSI4Q`zfHw zFGu?2NP8V?DKLse{u|TSUM-~>*HUU16&-()wm+G8qp3Qs{PCKkFWSo3=9QVBJpWuyjkX`ixY!uIG(V=aks* zirOsIXV!a_4hupYEmZ|VPu;Z`EL#oy?a_hWXW)Aq?Ar{y)xcjj@ZAl3Vs!yY4o1P{ z5D~$wnnLi%^>#@!@FBNZ#%u;Y)WGK$_%H)+H}DI&de10QAJOALIpfiE}kF$Uga;A0K^2JoW)GzDD@idut1 zoPpnA;NuN^oq8MKUcLf0K}pl1$6RUnk^7l0!+Z74o|z)AI0pguD~7 zV-9Twe~BQrkO2*lzevb6B-8Tn7YMnUWLg&f2|}(QnU;g!F65OY(=zbeguI;O2T8UH zc@fF99Q@Hjp1aEGU~_00_?aMnPX;vo{Z@T&ufxJ8292~jP*5>3*Pn<1+6ib);PvN&aSRF6D{No_Kz zuCP@w3dDURjND-_lFN{mMVF3;%|O*eGylxT&9i6EPLJwQhQ!Ek_>NL5V^68B%f+z` zf?Cy79Ddkp@^E)#H)XVwzZ2Piq-OzaD~B&zi2GC=dV_ie)yw}6b$7hUiI!Z7>N?@u zPfX?T6{MZ3Y5%g)+a+qGqC~vHC&gHm@Kt z)QcUIm4%u?;9(eiJ^L<$12$(zC3&jXK6GN3^0%kCeP{^}d-R|ZKhb;E?lzh1{5PX_ z2&VV1`C0oYZ&=<~ld^ZBcf#nd+40k{5im+01AGrxi}d?+=OxRN9IEPiDJ4gBXRnO6 zvct68d`ro|Jr!(e^MA15PK405QQdi9!hQsyy7E$NC%_RqkL*5DvGr8$9Sl& z@hOS9AJW2?jynXKmtr07MuTHZPefz0s1E5ffdu%ZT}c-9CrX;Iw`lmWiqvPG&7GS2 zZ0@w&{AOf&E)JQ}!uOr7qVS_x)PCD|cWPCFm5p@|OYwcQE)XcsOG%)F5>L88?)wz&$nJ|6r?p%~zwevix!3dr9Y5FaWxU;f-*-5jx5NHi)ZU0H`mJ}{ z@jMvWZYZu6ZffaW70%T{qRreTlRZv8Ghq zmy|#&*4vU;40tXL_)HPyz+^1VL$$A%n9sR}!iLdfMsXGk8s;1-W*;~k^0FN|ZW#!%7n2mNO zQack7B!_|wYpPp37)HgM3u9QpIM)A^TWF(L=*g~&q~nYxBNLf;)DARSyC2qa=HPr_u`flpw#%Yn;)wZL7#2H>Ya z`gRD#>hl5n0~xl$v%o~)BA^Yp4mbh04@hrT#~i4XpmGto0r6R;TA3iJUPo)53%wrm6DZ3qMkfIrk=w*pVR0ehh1 z54C|n8!Elu3Iwd!Q=e}`hQRGRkQi{%E+hn0_98Iwf9e8(XxuYbd65V(VSgY{1Z-(Q zBEZ4}7ztqiLr4Idu=^1t0E{|@1b|16BLQIb$4DR?uh8>8K>{FNIg14FE@=NN5&#Z8 zhXjCeUm^iu`d3H*==&N8;2rUj4+#Jdev1TvpSK|apy%I60J!=(62L}#510t_+{BOr z8-OLiqMu;j3GagRe$xbE1F)qNZ+x|nvay0+0zByE5#?hXN8N!yUv2$}D?J9c;&&E& zRuF#>@|AY%KLdZG9s6&=$6=8L#}CB<>PY8tw+ga43wQ5Z%BJ1V3~&zfqp- zAhq#pl-%Y)Ju=9R_%iUr+OgjVendO=?}2}$9s56nmucOd@7GWa4RXjs!?eSOt>zIG zBdROHmH(#N7)xW2A$4pkw$s7Yd~QXul2gem0IMtcPC#oVKUI;gO!M#?fD6^UpF3U2 zU&p5a0_%AN;N=?L2)MbCe*;*tiCb2uE6H2;s`?rA;_F!e8dIbh5ed?(<{d43*HbCE}Q(v`eRd?+COAAByL!Ov?v>B(hR1A#OQ z0LzPvo)H<&#-rK|8(=N?a|(~F=oyh0Sz=P&iipg~<(U;-ljlKe#WpZ{(GZq_x3%%} zRhF==sGj6MR&`B2hFa6LKmfygyQH9Y0er(Xo>kq4U*IqCR=(8m8^$MBr$r=|+J(!G zQeII#LdhxNr>YkytlB%2C!0cW&cn}|;(5_ppSNJ$j?kFZ%QbU#g@zt)Zq24prF;>; z^k#a5e#U|*mQ90V)Dmf2!bI`#>61C6o^(ST0Oi5Vwx1wJp4RF{hTVt!!4T!Md)WdEmeF9L{_bZ zV%5B6^Pt#PDYjON23Tt-zqC0$LO)k(TF)(8Mks(OfUE0y&6W}I4Yiu>1o{v~NycwH zzq+M=M0mX**tTeIueX+%#_D6EvD!6+MKOJl?&hPJK1_G>F|38xZ;f}v-Z{cE7=+;T zLLVOkji*-}(+BEqKA!0#b~m4ZBfkP8CF&u}%)}Vd?Z#L_==6feY>N*`Vy%Rs7KVXp z+%_%i_Vh{nen3+@dD3f=N#x1LZNGDxhg*v%bA{T%POj(G+sBLx56Z4es4+T~c44kx zHVOXT-tPjhFubak@Lq5CFe!qSYLn|UJ1pOvG0KpC{ zua!ItnzA>*hvLkbdT%W6OZy$tK3pmfgLlw^&~I>bxJ;#0INaGLx&u86+iT#KY@kjy zppR$=#H0A0m+c~MgSg&_Z}SvT{~5h!iPBd(=y%$V2uwv4iQT*ZfA=%|Jn$ykp8CyB z3&U0l-nh?uXc{*ZvB>nh!FE9$6@eK$%uB<}na8B|a*U>p*PPf7lApO;D{}rWaZwVX5O2J3tKA=BXB+b_FnB8X6ZoYZ9`SHICn|uG7;}GxwOoHtKTseW=mjC&7QKya(PYMzNV}5p#iAi>Z&| z_mZzsHJ-kTs651v>@g=z!r-?(M5-^&#=zrEiN|x%$|ADEVrx=Buqz6fl*wBDRF1CL*F>SocL^kLn=>(R)c z`NVp2rVlnTN*6|_>c;XP@YZ&=7G6T$HIL20c@9N(Mr)D#O4r%oW0WX1p+w{Lh>NBD zAA>g4cE0dkv*`p~yPuObbwf4ZD!B+c<1Yy@xwCqrru`pTAOd6Ei86pJkj?oFyO8yM z(w`Z`Zpm5u`++kKC8@{96qY{7FjHa)-nBO4jUmZOKc z$IL=UJBX8cuLXZ;|KV=;J5l1(4|n6XV`1K>-|rE^_jO3{?rA)w^pr05G$mRpTu@ld XA9=vy^?Z0Ej6d>zg7;{1U(^2s`kc`T diff --git a/isim/ComparatorTest_isim_beh.exe.sim/isimcrash.log b/isim/TwoComplementTest_isim_beh.exe.sim/isimcrash.log similarity index 100% rename from isim/ComparatorTest_isim_beh.exe.sim/isimcrash.log rename to isim/TwoComplementTest_isim_beh.exe.sim/isimcrash.log diff --git a/isim/TwoComplementTest_isim_beh.exe.sim/isimkernel.log b/isim/TwoComplementTest_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..7130d67 --- /dev/null +++ b/isim/TwoComplementTest_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,28 @@ +Command line: + TwoComplementTest_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 59889 + +Thu Aug 29 13:06:30 2019 + + + Elaboration Time: 0.13 sec + + Current Memory Usage: 198.607 Meg + + Total Signals : 7 + Total Nets : 25 + Total Signal Drivers : 5 + Total Blocks : 3 + Total Primitive Blocks : 2 + Total Processes : 6 + Total Traceable Variables : 10 + Total Scalar Nets and Variables : 387 + + Total Simulation Time: 0.17 sec + + Current Memory Usage: 276.206 Meg + +Thu Aug 29 13:10:59 2019 + diff --git a/isim/TwoComplementTest_isim_beh.exe.sim/netId.dat b/isim/TwoComplementTest_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000000000000000000000000000000000000..e91d35439c8fb49b33f906552230cd378ed76999 GIT binary patch literal 52 lcmZQ!U|=un{`+@*_8CLi|;0z`F4zyhUtY!sPOyNh@fkZY~OCwMOF(KfoTO4N2pvn#{7X zN(NatoW$oeE?^h1Y{zE1ZM4EApg3;B^P5`n0Im3*ZM#MeI+HQ+5_KGgdWW#hDlI3{Z-@6o0f=G>(D8LW{FF@f98N+DJ!eUIB@>Pa zq|qo<;-Ugb$yCqb)La#r5|x>nnATz_3PkWJ*oC{T8nC{My^ zki#j;mpQW{&Y}eN^%Kj}~TD!{jl!Zdx1d@RuQZkW&fkBYr(|-XV4FWJa3#_IFOil-r>%im%F!>2gvP^bl z7nhV`gqXkqF@OO^>wsl_amYqZUdS%N8&aO{oS$2elbV~FS2Fo9`*Lk#OJh?rV?#4@ pvv?z8LkmkoV`DR;_{_Y_5{B~pqU`v@c({KjPvw}fS%Y%}BLEw!K8yeW delta 274 zcmca1dP8)=eNICIV+&Iw3sdurFEm&fvnC6&-e**q{E<~$Qj-A$SQ%u&G=k8ZtjMO% zXgoQPO`OqUawCxR1Cf(IFtdmXGJN_k096X3)4^&g!Q>P$xf)EK1(WZ=B;#a9c5z8@ zMg|6u2^>%pV6-M!)(eMh_~eD`5?s#txdn+ui6!|(laH}4*EX=QFgCEXFf%lWH!!g@ kH8-#{HZY6N%*!lcD9 +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/TwoComplementTest.vhd"; + + + +static void work_a_2858062612_2372691052_p_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + int64 t8; + +LAB0: t1 = (t0 + 2624U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(45, ng0); + t2 = (t0 + 3504); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(46, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2432); + xsi_process_wait(t2, t8); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(47, ng0); + t2 = (t0 + 3504); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(48, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2432); + xsi_process_wait(t2, t8); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: goto LAB2; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +} + +static void work_a_2858062612_2372691052_p_1(char *t0) +{ + char *t1; + char *t2; + int64 t3; + char *t4; + int64 t5; + +LAB0: t1 = (t0 + 2872U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(56, ng0); + t3 = (100 * 1000LL); + t2 = (t0 + 2680); + xsi_process_wait(t2, t3); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(58, ng0); + t2 = (t0 + 1648U); + t4 = *((char **)t2); + t3 = *((int64 *)t4); + t5 = (t3 * 10); + t2 = (t0 + 2680); + xsi_process_wait(t2, t5); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: xsi_set_current_line(62, ng0); + +LAB14: *((char **)t1) = &&LAB15; + goto LAB1; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +LAB12: goto LAB2; + +LAB13: goto LAB12; + +LAB15: goto LAB13; + +} + +static void work_a_2858062612_2372691052_p_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + int64 t9; + +LAB0: t1 = (t0 + 3120U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(67, ng0); + t2 = (t0 + 5616); + t4 = (t0 + 3568); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + memcpy(t8, t2, 8U); + xsi_driver_first_trans_fast(t4); + xsi_set_current_line(68, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t9 = *((int64 *)t3); + t2 = (t0 + 2928); + xsi_process_wait(t2, t9); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(69, ng0); + t2 = (t0 + 5624); + t4 = (t0 + 3568); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + memcpy(t8, t2, 8U); + xsi_driver_first_trans_fast(t4); + xsi_set_current_line(70, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t9 = *((int64 *)t3); + t2 = (t0 + 2928); + xsi_process_wait(t2, t9); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: xsi_set_current_line(71, ng0); + t2 = (t0 + 5632); + t4 = (t0 + 3568); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + memcpy(t8, t2, 8U); + xsi_driver_first_trans_fast(t4); + xsi_set_current_line(72, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t9 = *((int64 *)t3); + t2 = (t0 + 2928); + xsi_process_wait(t2, t9); + +LAB14: *((char **)t1) = &&LAB15; + goto LAB1; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +LAB12: xsi_set_current_line(73, ng0); + t2 = (t0 + 5640); + t4 = (t0 + 3568); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + memcpy(t8, t2, 8U); + xsi_driver_first_trans_fast(t4); + xsi_set_current_line(74, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t9 = *((int64 *)t3); + t2 = (t0 + 2928); + xsi_process_wait(t2, t9); + +LAB18: *((char **)t1) = &&LAB19; + goto LAB1; + +LAB13: goto LAB12; + +LAB15: goto LAB13; + +LAB16: xsi_set_current_line(75, ng0); + t2 = (t0 + 5648); + t4 = (t0 + 3568); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + memcpy(t8, t2, 8U); + xsi_driver_first_trans_fast(t4); + xsi_set_current_line(76, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t9 = *((int64 *)t3); + t2 = (t0 + 2928); + xsi_process_wait(t2, t9); + +LAB22: *((char **)t1) = &&LAB23; + goto LAB1; + +LAB17: goto LAB16; + +LAB19: goto LAB17; + +LAB20: xsi_set_current_line(77, ng0); + t2 = (t0 + 5656); + t4 = (t0 + 3568); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + memcpy(t8, t2, 8U); + xsi_driver_first_trans_fast(t4); + xsi_set_current_line(78, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t9 = *((int64 *)t3); + t2 = (t0 + 2928); + xsi_process_wait(t2, t9); + +LAB26: *((char **)t1) = &&LAB27; + goto LAB1; + +LAB21: goto LAB20; + +LAB23: goto LAB21; + +LAB24: xsi_set_current_line(79, ng0); + t2 = (t0 + 5664); + t4 = (t0 + 3568); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + memcpy(t8, t2, 8U); + xsi_driver_first_trans_fast(t4); + xsi_set_current_line(80, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t9 = *((int64 *)t3); + t2 = (t0 + 2928); + xsi_process_wait(t2, t9); + +LAB30: *((char **)t1) = &&LAB31; + goto LAB1; + +LAB25: goto LAB24; + +LAB27: goto LAB25; + +LAB28: xsi_set_current_line(81, ng0); + t2 = (t0 + 5672); + t4 = (t0 + 3568); + t5 = (t4 + 56U); + t6 = *((char **)t5); + t7 = (t6 + 56U); + t8 = *((char **)t7); + memcpy(t8, t2, 8U); + xsi_driver_first_trans_fast(t4); + xsi_set_current_line(82, ng0); + t2 = (t0 + 1648U); + t3 = *((char **)t2); + t9 = *((int64 *)t3); + t2 = (t0 + 2928); + xsi_process_wait(t2, t9); + +LAB34: *((char **)t1) = &&LAB35; + goto LAB1; + +LAB29: goto LAB28; + +LAB31: goto LAB29; + +LAB32: goto LAB2; + +LAB33: goto LAB32; + +LAB35: goto LAB33; + +} + + +extern void work_a_2858062612_2372691052_init() +{ + static char *pe[] = {(void *)work_a_2858062612_2372691052_p_0,(void *)work_a_2858062612_2372691052_p_1,(void *)work_a_2858062612_2372691052_p_2}; + xsi_register_didat("work_a_2858062612_2372691052", "isim/TwoComplementTest_isim_beh.exe.sim/work/a_2858062612_2372691052.didat"); + xsi_register_executes(pe); +} diff --git a/isim/TwoComplementTest_isim_beh.exe.sim/work/a_2858062612_2372691052.didat b/isim/TwoComplementTest_isim_beh.exe.sim/work/a_2858062612_2372691052.didat new file mode 100644 index 0000000000000000000000000000000000000000..d097e1cca3945e3fb5477f848a4fa9b141d33da5 GIT binary patch literal 3812 zcmeH}%a2QO6vvO&Tgb3r5)u}~0)5<0JMBcoWEA85m_#h(PW4`TW7^xacV_HtBy1#; z*oatJ37h!?EG#4}up=TC!q{6_NJ#4Yy}hTdYY;^uILYUCe&_toIlt#kdvocX_YWVQ zJ|U3T3)qT`g7YzvYau=a{l2)!^LZlHe32XA0eAyO=8Aamr%)pgrosA!B3nTnoCi~2 z8axFvfRYMy+nT*SgqYDt-0j68%3bu=FKP2(smSJKB7Fff(4>O(&ww7(>BZXagBPF| zx$D4{MI!G2g+3)})35VV&+#)pHM9wiLol7+SD*UT#!(7U`)e8@U(7>aR5U;8r_Hh6 zVBj$prt{KuEAUe>PRF#*y=Y(e#MmE^Rv@e+(rU5ARSBN>{$_k?MTzlcs2dL&fmd%59p{Wk6) ze-HzgLv>g`WQNoJiaz&u2@TIch40UQTfs}=XRc$%5xY)}e^l#P@$AU<0I1J|V-&uh zXxy>Lx8?_i8vKlPMCYN7zD3$mn5c8Fpz&Y6c>;P?8l=B#;dlQCL1BON9>QZ<=UF62 z{Xe2#p~f5V7N~G;H97B97#no(H))+u%g?soUvWnK|3Fy<0(HL2w8h21h{Cv&^Pfs#&FmCCQ3gwUbWMPM&s3wVIP0E*6XZ z*}g%`vKz^fNq5Mt*2nCsUGqllrk9wgbeH2zy5&wK50&JkT`5gCZbKZ~w#~X}WD7lM(e5U(Mvu-yWx4VN4?_2v)GBbrtHkV1~`g1Zq z?#ZOvIAeyrrjbeK3+YTI*WGaq_**vX4YzDJn_X>vpy@ePze0EEd_|oF;9W;We@FPe z@;5zdYkVvawN>|QZ1-aESS%ioleTDrnixZj-v(ibfP)R(PSdG=`6ZcjoA?M4_E|f@ i5Z+O;WE%NwK9w_aX#;oEZ{!N;RMtpXj#cv7>-h*o&CsF% literal 0 HcmV?d00001 diff --git a/isim/TwoComplementTest_isim_beh.exe.sim/work/a_2858062612_2372691052.lin64.o b/isim/TwoComplementTest_isim_beh.exe.sim/work/a_2858062612_2372691052.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..620d13972bee38def5806f837db936323653e9b6 GIT binary patch literal 5768 zcmbtXYm5_B6uxa)D2U4nK30LyXvM|IY+LqGG2+5*cL%9}u=t21LuqH(hTXQA8Q9er zBrXt3*+7EEgkPHYLw*=FCWf#H_(0+hWAvYiCK5FY(U`@EA<*jz7B5fdht(v`t8U<@GK>me)t2&U%r$q-HZOo;*aP1tHEYw zZ${QoTrc&(b8_}JXcpUCDWncaYH6x47gL!j+=Lzxo=wYqVCcmJyE5a4=v9$~^qf{j zt}7yglG;?{iCU2%=~*YjZm)e4T~eEhT!nceZ?aK()`z9+RGRBJGOEW=U--@)1zUaBY#Ebs`}}WUHh$5`iV>uCAYV5rxO4Tb)9O zqOeU;8!7zoSGkPqS1&CK>!q=9Fj>lk+w`dm?e5l^#jQnlRoq?ua#!gkcZZ&jOP%SN z$pws^d+mr%Y}gk05>E>HT1n?=m0)Le9n^h)zy?EqvAd+}GU2*Tx=yzoD2v>8hJ%=V zHE+)&p${T^9|^0gQD@#EN5VzsmuG<{In|_#7xfQ+eMI+N)W7^2Qa=c%L0#%2Wa>I) zjA=zDAax>=b3-~kauDh!5CXBRogMESp2+v-#|vZTxS4Z?P1`XLHg=n%nmK7|NSVl6 zdplD`EZ!ZD^u&6iF(bC7H`eoDG}0Z@GTBVZ!Dx(ij^@YB&a7>A?#ZTdxoqdgL?Y4K z-L*cGF|B$bZU1P7VQiy8>`K%d_#Nu+UmNNe+Pq^`s7ve8dPA{DG!|JCT@&iqW@bWq z%BkS1qrIyj+^syM@40QvH`(S}x^Q0b1RMv5FXMe3SPrzdrCWn8*2VE>0#MoB8an1b z5}2A37z#jtJ3zy{{|uI!TSG_uQvv_!)?f&l1;oJSgGSZYe+^tKrrFPqJE`4FvmL8a zj*6OPj-@omoOGB5mB$9sqguSS8HFbLxCE$aWBGJyOa-mHz|;A0oO!0@ z@{Xy&7_PRRRC+IWFh`9MD>ZKNME_qA0(Tq&+_}{Hcw?Z2qKwU{ZL+1%RxzYpT2vuk z=KgKaL>)1_I6r7B;XxdyklG*=yD_x6Mmw^HHvjL{|NUN<0L}hI8wvUmpUfc|0!Hr(DX{d&=0R}B7YG#3z(O_ z&h-VKhmroGoq>v%KHdV*gbwP%X)FB&{ybo)AAyJ3R<4hyI5jbDqC52bJDqZN23>MVgr%%>QCoD(noH(Q|ttXC0KniZ1AFY@NFt7VTa(DRNG@XQ4B z^BQ5qy$^{%-D$?$bIRxLfJ#Q<{qKRMAM)woiMrnss1Ly7b60GoLyVgaP2^AUK|53F zX1I?Ke~72*eph&3far3_EdnHdEzT#8f=~}ITtT&V)NR&}!*Lh)-UI;#w?f5UhW28} zUziw=_W{7YtJ-G(c;VkRz^^sH7l5Q!oRtl5*5F>ErCGt$x}&|(f4#mK1tZ3Cdm_z9 zO`}AZmh5+bnozU3tiz0<$jl;|+f!T;to^Jwxz}5ICL{XtYgDf>ZunzEvT1=T%A_%zNp1gE-J z2u}HBf>Yfk{Ck7lR5!$NbziI_IMv-maH^XkIMqGPaaH#{!l!XA5S+&OszIFZ2%p9& z6P(6bf-efD=ChpR>Nt!v;M)!OM+r{H?Nx%)JkJuG=J`3t)jYo@d>ZFBf>Yfx!72Z4 z{>jH~s_PC8{NVOOZE+tF_ZNYS`-}MY3S4~mX&jW=%7G9sF*ZKJ;=Ceo5$7od7rO9C Ka!v3>p8o(HoT3N- literal 0 HcmV?d00001 diff --git a/isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.c b/isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.c new file mode 100644 index 0000000..73ae781 --- /dev/null +++ b/isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.c @@ -0,0 +1,304 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/TwoComplement.vhd"; +extern char *IEEE_P_2592010699; + +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768497506413324_503743352(char *, unsigned char , unsigned char ); + + +static void work_a_3935631676_2318913362_p_0(char *t0) +{ + char *t1; + char *t2; + int t3; + int t4; + unsigned int t5; + unsigned int t6; + unsigned int t7; + unsigned char t8; + char *t9; + char *t10; + char *t11; + char *t12; + char *t13; + char *t14; + +LAB0: xsi_set_current_line(24, ng0); + +LAB3: t1 = (t0 + 1032U); + t2 = *((char **)t1); + t3 = (8 - 1); + t4 = (t3 - 7); + t5 = (t4 * -1); + t6 = (1U * t5); + t7 = (0 + t6); + t1 = (t2 + t7); + t8 = *((unsigned char *)t1); + t9 = (t0 + 3832); + t10 = (t9 + 56U); + t11 = *((char **)t10); + t12 = (t11 + 56U); + t13 = *((char **)t12); + *((unsigned char *)t13) = t8; + xsi_driver_first_trans_fast(t9); + +LAB2: t14 = (t0 + 3720); + *((int *)t14) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_3935631676_2318913362_p_1(char *t0) +{ + int t1; + char *t2; + char *t3; + int t4; + int t5; + char *t6; + char *t7; + unsigned char t8; + char *t9; + int t10; + int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned char t16; + unsigned char t17; + char *t18; + int t19; + int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + +LAB0: xsi_set_current_line(30, ng0); + t1 = (8 - 2); + t2 = (t0 + 5901); + *((int *)t2) = t1; + t3 = (t0 + 5905); + *((int *)t3) = 0; + t4 = t1; + t5 = 0; + +LAB2: if (t4 >= t5) + goto LAB3; + +LAB5: t2 = (t0 + 3736); + *((int *)t2) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(31, ng0); + t6 = (t0 + 1352U); + t7 = *((char **)t6); + t8 = *((unsigned char *)t7); + t6 = (t0 + 1032U); + t9 = *((char **)t6); + t6 = (t0 + 5901); + t10 = *((int *)t6); + t11 = (t10 - 7); + t12 = (t11 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t6)); + t13 = (1U * t12); + t14 = (0 + t13); + t15 = (t9 + t14); + t16 = *((unsigned char *)t15); + t17 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t8, t16); + t18 = (t0 + 5901); + t19 = *((int *)t18); + t20 = (t19 - 6); + t21 = (t20 * -1); + t22 = (1 * t21); + t23 = (0U + t22); + t24 = (t0 + 3896); + t25 = (t24 + 56U); + t26 = *((char **)t25); + t27 = (t26 + 56U); + t28 = *((char **)t27); + *((unsigned char *)t28) = t17; + xsi_driver_first_trans_delta(t24, t23, 1, 0LL); + +LAB4: t2 = (t0 + 5901); + t4 = *((int *)t2); + t3 = (t0 + 5905); + t5 = *((int *)t3); + if (t4 == t5) + goto LAB5; + +LAB6: t1 = (t4 + -1); + t4 = t1; + t6 = (t0 + 5901); + *((int *)t6) = t4; + goto LAB2; + +} + +static void work_a_3935631676_2318913362_p_2(char *t0) +{ + char *t1; + char *t2; + unsigned char t3; + char *t4; + int t5; + int t6; + int t7; + char *t8; + int t9; + int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + char *t15; + char *t16; + unsigned char t17; + unsigned char t18; + int t19; + int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + char *t24; + char *t25; + char *t26; + char *t27; + char *t28; + +LAB0: xsi_set_current_line(42, ng0); + t1 = (t0 + 1352U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 1928U); + t4 = *((char **)t1); + t1 = (t4 + 0); + *((unsigned char *)t1) = t3; + xsi_set_current_line(44, ng0); + t5 = (8 - 2); + t1 = (t0 + 5909); + *((int *)t1) = 0; + t2 = (t0 + 5913); + *((int *)t2) = t5; + t6 = 0; + t7 = t5; + +LAB2: if (t6 <= t7) + goto LAB3; + +LAB5: xsi_set_current_line(49, ng0); + t1 = (t0 + 1928U); + t2 = *((char **)t1); + t3 = *((unsigned char *)t2); + t1 = (t0 + 3960); + t4 = (t1 + 56U); + t8 = *((char **)t4); + t14 = (t8 + 56U); + t15 = *((char **)t14); + *((unsigned char *)t15) = t3; + xsi_driver_first_trans_delta(t1, 0U, 1, 0LL); + t1 = (t0 + 3752); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(45, ng0); + t4 = (t0 + 1512U); + t8 = *((char **)t4); + t4 = (t0 + 5909); + t9 = *((int *)t4); + t10 = (t9 - 6); + t11 = (t10 * -1); + xsi_vhdl_check_range_of_index(6, 0, -1, *((int *)t4)); + t12 = (1U * t11); + t13 = (0 + t12); + t14 = (t8 + t13); + t3 = *((unsigned char *)t14); + t15 = (t0 + 1928U); + t16 = *((char **)t15); + t17 = *((unsigned char *)t16); + t18 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t3, t17); + t15 = (t0 + 5909); + t19 = *((int *)t15); + t20 = (t19 - 7); + t21 = (t20 * -1); + t22 = (1 * t21); + t23 = (0U + t22); + t24 = (t0 + 3960); + t25 = (t24 + 56U); + t26 = *((char **)t25); + t27 = (t26 + 56U); + t28 = *((char **)t27); + *((unsigned char *)t28) = t18; + xsi_driver_first_trans_delta(t24, t23, 1, 0LL); + xsi_set_current_line(46, ng0); + t1 = (t0 + 1512U); + t2 = *((char **)t1); + t1 = (t0 + 5909); + t5 = *((int *)t1); + t9 = (t5 - 6); + t11 = (t9 * -1); + xsi_vhdl_check_range_of_index(6, 0, -1, *((int *)t1)); + t12 = (1U * t11); + t13 = (0 + t12); + t4 = (t2 + t13); + t3 = *((unsigned char *)t4); + t8 = (t0 + 1928U); + t14 = *((char **)t8); + t17 = *((unsigned char *)t14); + t18 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t17); + t8 = (t0 + 1928U); + t15 = *((char **)t8); + t8 = (t15 + 0); + *((unsigned char *)t8) = t18; + +LAB4: t1 = (t0 + 5909); + t6 = *((int *)t1); + t2 = (t0 + 5913); + t7 = *((int *)t2); + if (t6 == t7) + goto LAB5; + +LAB6: t5 = (t6 + 1); + t6 = t5; + t4 = (t0 + 5909); + *((int *)t4) = t6; + goto LAB2; + +} + + +extern void work_a_3935631676_2318913362_init() +{ + static char *pe[] = {(void *)work_a_3935631676_2318913362_p_0,(void *)work_a_3935631676_2318913362_p_1,(void *)work_a_3935631676_2318913362_p_2}; + xsi_register_didat("work_a_3935631676_2318913362", "isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.didat"); + xsi_register_executes(pe); +} diff --git a/isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.didat b/isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.didat new file mode 100644 index 0000000000000000000000000000000000000000..5e7455746a20adbc50ceb3b01a7f868fedabaa1b GIT binary patch literal 3732 zcmeHJJ!n%=6h3Oz`ctXcp@^tpb&xh`ewsE#p`~VUD2lDZCw+OQOu|6_T1 z=9WM{?WYzw3(W5pS@7Ve+m7!M>Dedp6^Mg>6L<(Lw28dk3tzj)B=8-WJ0S85_yDW` zYe3sUkzQa3pv=S9N*2Lc^6@$ki72|!zTjfDL*&E})ac?lERjL|Yk-A3i>S8?HV%A7 z9jm}Ru;kW6pMte%TdmGZyUSNR`vkT^uvOKz`e?CDRUfUw8*kPI^|yKG^NWu4``Kqq zx9}zQLF-_wK;;T~S~re(!y1*pRagf;#Mu;MqSypBA!S5IW?L zPpLevS=IE9LmhJ+to;r8?)>#U%*%7fPq3*l&l9MwrqS&?nV0wi)eZX&R()!>lAY@z z{|p+(VZXU~)bFmhWh(uRy}*DTux(i8RlnM;=4(0O4kB=!9|2mg@XqLk=X0U4S7>CF)a_nZ>{5$j#6cS-8!`Y9UIy{dWF{ndR3$?xBYulYC+!Lv|k zF6C@yHNWN=0h#cN=HwjYj<|r48+eE4r>JG#&3IL^Pw`tv@!m77i&p2>nyX|e#wdK? z$nk@*|MK_j0KZKXes}quI0>8r&I7$b9}of}K&fmQrLvi`%%UaXOg?Leosu1%am-xK z313d7QiB8WQOmN6;mO(jSUy|0V`uGLIdm@*oZpZ^8hWF}bVeN8wvB=j9Y{v|BmIeF zGH^vxPC1>wn=1z%XgSl($Q^?4Lq>q%;W+Os#j`Gl>!eQj>dzJ8H*ZMuU#2S zO-uwHn>LHZ`+-LdPh20z-E1cMw(*_k{r30u4P;zn%(Kyd(1tmjl9O$`=96}*Y|w2? q*_n_%XNO3c%@=QnO(T|!4J2Zb#9+dR#v((>NGz6!hAhW2%km4N2zkZ; literal 0 HcmV?d00001 diff --git a/isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.lin64.o b/isim/TwoComplementTest_isim_beh.exe.sim/work/a_3935631676_2318913362.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..0866db573128c9a6a6ead97fda9c401bb0a70309 GIT binary patch literal 4920 zcmbtXTWlLe6dl`b0B@oi5*Bb9raW-GnSzFp@AgvlRwHHRiG|p&&`jeGst| z^7D?RnR7Ox<|tGaI}RS^5Alcj=Xh~}DLw#fEeT~tSWigIJ|9UapIFyHSJ@q_UcYb) z>=KGzZed)$a-)U6`Axifqt`yajpOa}Hw6WpAqOR*s$IgocKZjEVOK;@_D5#TpW*Eb zSgl0$N0pe|4*#q&)HINdj z+gu4H?dljfwOGc|9%j1Unl8*nPikxEHcz%)~t*`r4ufPw&WN8fhE6Us*Vs?^SM@B^YZ`=3DrMY^p2J2a~J=BN(o9o zt?nE6gsK%*C$?0XrG-4WKuD&P$#o4MFZ332lUXSz<>g_iB#Q`(qtXN?l_d@-#|y=y zT}ja&^#?h=3WJ}#X}CuN8a)-_ScNnM$e)HR+-=JT1Zfp|O~4hHyi zS}N8D;*L$E3F?YXbT!30{O#}U-RpGsKQ*$;8Q=n3*y;0leICEp?{x2%(oP{MYj~$O zybC0^QCZUq`-!RSFzwvjVtox>ImGX!_uP1m*&HdGl?c!cP<$i4nmsnhS#yuAhFL)#$olv*ADGrXowrakn=_W$zaA< zxaFdzk$$KCxV2(@A2hu&|7kr4eU?A^pmjrQUlEJ3>v^uwK*<};(t!#vp5)kBQ&PR z@DqSxd=E6eO;S<(IOvVlja_HpChO~Y?>0)R0rzc+nf1=le~5dF#lpw;J4nc#Tyo4Ku;~8dVr^S|mdd%c|Xs5ZtFs>KmYuS^Xb` zp&9PDsfPNEf_@B|Nqu6q8?0^u43Y1pQ&7J{fZ=wU)H5z_98{ywI!GCXp zw?fE9I_@U;-X?gy39dB3FE_zSlQR{UB9jsq^m&7~J$i|gqL<{yJ!_GCYY{wzOZ2Kg z-rG|%`HW1&{=~i>J|XVw>l=y>i^F_RA}*40DI*r8@k~jUilTPbtb^c;nwpZOl1eN| zvY47G7UA3>W;1z71>vNb6;l&Z>Zn*u=Eo(mFeYa5X{k)`cq$HxzF-v2i=I$4N-~lp z!Srj0SehCY{eeg%9Et>@;h-lJfMc&OAO=1DaKP^m`qUWdV&)j+Hh75 z??Dg@`S`Xn9RKX1A^$FD41W|sFy!Ob%J4n}!H^Gs4Oj3p2!a{;=QMZ~e^H0y-p2I5 zr8w?1J9PLD6vwzY7ski;5e;$NGa24babrGD>-hTo%T4$%HQ_He;a_dSw_+k-#ymSI zZp<^RzDDhwJP15ykPMU-wUSe0@Gwb+|sCn>rl-yRdcH zL%%mh{Sd{mK6?EFIvmfYOb5EEVYyL@eaF~$kKydQ!1ip0v+tp%qMga`t29UfC%vLJ Vor;P?3^>z2ZNQoSvH@p#{tL-zT0j5* literal 0 HcmV?d00001 diff --git a/isim/TypeCheck_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/TypeCheck_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg deleted file mode 100644 index 66a8487dddbdebe95826dc10f4b9bd3e831e7e72..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3850 zcmcha&u>&!6vr>tA1w<0D2i1pV8s!!ee-Y2K-p=iFDwr5ga^{?S&i8)r zx%Zy;UVCxdXMcQ~iC(?Fwzl@3F=ld$G1qU|T;I6OnE6g)KJRMkCwCgN^=@N!$bKYc ze7kt=l5QrNYVMWxTEP9XGab#reKOWHCHW6Y!N*dSn220+QTm9K+$E`>`$6MJrSSZm z%KfJCF6ph()l_b~_P*QZy5;+veH!mkPTM#8Qn_~(dswP)BJT`zB(6XIiZbk%1WNsg zk2$QwV~Ua26&Th;e9Q^O`V=F@{=isRf>X%6qQK*_aInvIJY`|_S`2#v10F8clQFMr z@Pv(Vr|o#&7A9jccUTM@)aH2JSKvvDQR}qjxg^X!i{Vb)zNp{vd?CzJ7PHl2WRkqY zd?U<$i%~1C^RP#UnVy;W^GD&XNr?^G9D79EIpC8=obTJS=FOg8;E;A{(jk%($Aje@ zI2R|>ggDFo;0ZNnPp1x`TS`5X%D3YordLQJJK9)y`ou9{d8UNfjOQLZ zpXVR(Ej&lWF>ZODSH2w&^W6E+w}@}ynHI-M%X3Wm8}ZQFNZRhy84oGWeBPN@`iB0% zM_fPk2s@%N@ogj|)CpFpL|m`+Dln=D*zL{Krr15gK5Mbu19RYkS6fWPz0Whk9##Yl zXN{#VeXOgkU)UoSv&ylfw0e6tm~mPmfCn$UKI9e`7);4THYDd121vD31C0e zpx?&mEppWAV|h1&{aDEXi{YCDmc97c?==Q{S;@d+9C*5i`gm5nQ_Nwebi{+aNmh$V^2^!?>pMJS7rz-_Wv)NhzE5p# zE#K!IyIYDlpY>7qH;7-4^L>o(!{ac%PmD9$Yx6hbQ)i#W^UP(ePe1dnfRldRFGbp| zOPptro?ssGUyj|Gvi5GNd&iVl^?uiw{zn+o-w5#!zPp<7>q(Et!qw#OH-}G;;q&MJ zpJQr5*fWYapPoawJA|G>xNn3!Sn^o%Sn^n&6)fk7<*cym70Y)P%e`X}-gjq39L!f2 zqM%fdg1J(@QYi(;r>3Tc4-FnI7Nc5l`ut)vF&`CP8(5kznxMKE2WLv(X&$S?_SPQe#4rGHCMV)^`wSp!WR~MTjTM&URdZZm%UyAPaVU{uToL`9_<+gL-bVc(Ccbr&|W(TE7BRIN85S(3GRnQSarQ8dJI zy(~s5iv7gW*0!{@hQA8M2O5L|T5F@yirQLgMK@wCwp973n(ue!vAKJ*n=A-|{c|BX zGiT1soS8Xu&Y8!}y{W9?d`nJ_A|H$Lb%kP;#{?LP|5lXzdstN9d`i9&P)=3GD#L*p zhMxdSA;?1#c8j7V%olXIfO!k0Adsm5Kuzw zcRyr(0o;`Bu^I|5y@?Yny(z!~5{?k%NhtGOf_$ufJll}JC7;eJdRt%KrQCn- zZMTl^nRC&Rly)M1WdCd3H94d5ri>%p7w~f$ewM#^``pL=w)ln#Wq)}0gkQbB^_rW$ zf5X;mXN;{`vh~?Vcy9*$EewB*(o+y%UHXaW*tx(LD)0B@FRc_94z3aSp1V`=&jCMD zISCV(4+KYP60Rq7pIKCMg*O(QVAl(YhV8c8!mXV$ew8zPOh(Z&e$ zf}+lfHb#}%tx+{Lr#YgwMq+ABWL8yMeN4Tss=h74l3Js+jaBt(T~(_Zxh~QeW6103 zYUI$|)kX(bia`MQv@H+1%1p+fvn_MrKE<+hUQ{RDzmlO%>;)Mdpb{B4|Ra zMy+qEjaI96yT_GeCI%*3qhMb0qh4^GI#uMHSYOrJYObIm+Nd_SM5@)c#wf2y^aF^E zy_2jy*3`^-tZ9i}hbGL5wt$dTtj?l5%}p&a1)U^{OJ+=IAPqrvTT2VEib3Ne&=O&J zX`C_?p4vGrk=CaAHtJ+`R$F5=>ut)MtTNg-tBGYHZZPE3GzHR8O~%5bV9ctU=U{*c zB?hRWDvBYCpx3LAFtfFlh=5WPM_E3wfTHrBsg4t z)opWnT~4Rlp-h_|Qm0K1P7Vd9h6FEQs=TbMOr2sVNtskpK4GHjD0P&&-_}zD2vNL_a`tZP!*lvk6ekht?O|~X#YuE}yIFis2jV0$ zy(?M#pA;ui>0Qp^?@*jXq_>mB-=H{&eD6FKf0g1#P`sJNU!*vRNN**JKTB~Ejov9N z{xro&BzglZ{usrNrnrs8AEG#kMsE>||AOKq61{~i{v(Q$DD)~U{(Xv*2=wm!1c=iY zQ=EprcN>e}M)8pp?_u#f0q32$20&A=w|2ND;9pIx1uGz63dd)h6OLCDF9>T#Z_LTt6qrup9`5*{ z?cA_7z4-q2>qq3o{1x$;`DOapV*Q#t-4fLPUS{pu5z=0eBMu%2sOO>@e3 zqiH*?$DVQMDmKyx2|>#4_Q~|Op51q<7ar8)?K0hx)Xgi z38f4VEy(dFtn=rfOG7zZ%W_@_Y0rely%6&E-nhEA8dz#^BCw!2Z^4vdVg0-Ln2y%f zF9xiuKZv{V-=TegVr=WPBMa+yH)H-HhsB&@UUmg%ARDCM-PskKfy`KNU_q?-UGxDp zfjWRSd>Vzq@nPpfxAhh8ymCDg#T_56Awk%&Q|sCBuAm5YFp4##0N1Y}wYOfDm@t+o z$bW-5ivrV;S3>6{84%>NGLvsjLw>|S^5ErC(#y3ELi+Xj`h+|!RNSMV6ts42LTF1! z+imSy??-5EA#0|T*Pyz-O#27|_jXzQtEU3~V=bDeXo(=nSU7%M7?Z27`02B+D(;E> zCY1A^P|o(Uob4ek5gNCRmA7TbgS^KcIFM{q-I6sMqFaA|Gam(N&U(h-`f1^~Td8N7 z=8sS~iJJdqx@7xJMM@%!@S~Vp(4Jq|aAOg4#H&wI)~9ID6MK@W5=~N&_}c(9m5_LD z02-34BvuVTlSNG22lSQD8&?%4!diXt&I+xjcsI2Am@w0#LG8J)_Fx7Z3{yUUIjIHPOkON?j0|M^@$~A+M5%gPl_lh zNJ*C1^E)0Hs?J>>4qazuf1QbssNl49{*IDzsZO#TQc$LaZ+^D~vn{NxBC{ISmXP%= z*M6seV?;RKL8d&cb&!SL#Qa%pMjlL8K5$!;xUjy22(&9G2N`>$l8ra#NEmYvLAd?t z5vXwuWj-l<^ClnMfr|J~$loZ}ZYaJ=+lsnQ39n1!h1YG%3y<3nj>n3v;r6$7UWC9o z<(daoQ$dNfAD}dy)mo0p77Ai0r-$3uQezZr2TiC7?N{eeo%#~uLm#Cr`3Nu^UpAVs z+A{JY^jxiD3t@S&`bpNV4x-XJdMK5JF^SJ+(HrMpq?e*nVqYJFv0now%C!yJs}hZ=GekG+*e;Rx6w^3<3$p!IRdbz=Tm+A7e~?&ZKqwKL?f88*ez+(i&#EbuCSFsMr+@ETP$F zR6&F)ei@T`aMfM zyNViu9&>@$UI%{Z9mKu0t6S^HLlku%J=x4B+jBOXXc0|Si6QpS3*ON`zt&Vu9O*@P|71v;3}#C!GZ-N zEN8P`wa$MAb>uE1?x2_%-=vr+z&q6%pN??vk3e8num?b7S8%jLFQ&8tb}n zd=(ejRZBst57(HCilUfvKpo5lR*DIn1Cn3i9I%O}p8}!%A24AQ+6(_dOtA**h5J=# zZ5UX|P4+^|-9LRb7g{D#V2=xJ5t__}_A9_Kp>6K>7^?n`K6o`W?v0?f#UPwmJQsgC zr2X|V8tx})W9cdUC@YZA0u}I}C@6wCB?(Q~dJh3< zJrhhArS&z&)aOiEhbIP=*56-yu%-0?_zDNty^Xzb`vjOU3T^$H$$4~0xwl+s_r|@w*J2iLvb115|T{ENR5Q=c=3;SYZq)M0osdaxaa{{r{+lGhIbX{`Vg zMrr+sG4(l<)-(P*sI(T<9&Bm-uXAsgyp}Y}2g|)(3>J)%JK;~n(xKsc+nnHXayYU{*l8sEjITML#yri%7Mh@;0?ZaPh4rP65{wOXgsG&qX!yO-A30G-rWKoNDhflIz@;R zd)BTTIw^ZSH14gSwo4pF5DVtxgx$bpy0<``WsSF?+};-u+_&R|Aq0|%Dg+e=VC*z_ zlLgf!MVKzq3@MQ8`hd8LLv7zgJwZhf6gO*_Kz_QLHPtUsp&9QgJTizta?!zA8W%`b zhCaq{&`Ukd#PaM83W_r`c8baldTUX)QR|+7K{qbRm}u^o3pI3jl*NS_o!x{A>2x5* zMe{2IMe~vu(W(@UnsS5YimQZI%g(#!ABma=lf=2V^}a>jWR&&K?*du){GbAPKvn8!)NK^a4UCum zeSX?Z0FzkH|YXN`P=o^sxlg>9-0X%Nq>cgU!6d{X`+*Vwj-naX==l(XS;mWm&{wp@r z6T}a}urE2$$)$-v6Z*z&l{(g$~u2w~@SGtML1^8B%k0EXkDDx;oAV zWrU@-5nPzv;Lo$fe|z$$m-q`e9}*HD0vkq&-^JKEq$EDLX;6uO2kM92DChq0p)2wK zb(_HpenTS6t{|rDHPFiDGrG##0Tztn>)yy_)oxPghtgGEdTVX(HiIwRAk;Fo$rn~FF=kF)nEbny}%x^M<^Xvb@l^R)B( z-;6_-rh7Avnu%U5M#Fm9eSLiiwGRF2BGebC!O5;2eVU>?MsJQjIRU>C^TAN><)F~x z)HG|?GkpJCXDyBA<>C!MO3?TcCNAG(sG|zve4V9rR3cWPu?9nadMHg_%%>mo#^Ie4 zvO<59-jqi3)*!}T1|iAgFN08##y9bfd=k~BzVtyYrIo^$@jg0#0~7YUo0U+6iaS{d zcl6`LkbZ6d9sRXyQ5I(EDjJhBv5C*tReX_Ps)M?YZI|b=Nu)i4*N{h8k#!pql5d&N z*jwkHfZw^xm`t!&BXU?T@#Wr`40Cn|lZ-{%K`BI{H-Wcb&C7|@nN93t_=uHq?Rm^< zYFUNGXLUSCuZJXn(Z}@fldnX4lZ7Ulb_FIS+gYEN#DRSx(5@ahtNDI8e+yLN|l33)CTIMY1A^Lmv}?*omKkpmB!p&F;|+^s1{@l$Ut%agr`J8s+#y z2g%7!orU@O7xX}dwo1%$>Ng<&3?w9FEAgXs@LA4wDexB+*ew4&BVg}I>DaKpAB6fU z_HxUr8z_@lmXEP^t)euI$&ubcVVd{y8gJ*%ZST2GCI1z=OYfX#0vB4`|&^6W4#Iptq0N}2L^fUVw3Xv#0){NoMU z4a75R4xjR@k)|o%Om!xwJk3@#wub>Z*Eh4+Dvn&u=DNl#C^~Q@()h^%iDgKqS81q7 z-S8^S@sFVkQjQK-OEcNuw;v@Q*bB&9g^;|4bO&(6o+$aBA-r+^1W2jmcY@jgtLpzCJFq_{)#3q|hLYREnk_ZN~`Q1d!UZEE@e+FeBo6lOduQq?fuT3_edImE- zt<9%?LRz*qpI9cFPw%ryo4*iYY4h0-(>|QR=FdYqxB1jQX7hgt7L97)k~OI|pH+js zI5xJ~+I(szX1memQ|nANUv%vqNd*vumP&MjAz|~WY0TztC2m0O1_SD`H010-(PVzV28wC{~lFA4Kb6$tar-n65!wa)kAckVJa=FDKEPFd=wvpd+x zFB%3N3Y$)K_U7Z4*{08iH4bac)5OfJ0|kbM>gP6nIYM&Qrnl+T2(wLJki?;PiC{3B zzBx&(C2e|V5+_vFkT!h{j56L<4O)b=ClzPW`qQ zHl4U9oBjwvVbkX$3?s3PP-N37O3uD; z&F%r!;dOe-AFQEudf`fw&8DHuWV1=$_rhkc1o?hgr~kbxb(${&%b)E!-I_YV{{)6U z%XK>asWi-bnl|QHPdd#w>#H8bWZO^c^y8?k!|U|HY&s3e=V6`xl?O<>547oGLLWlw zbOoFmZTd>ax!IvZO(_x>_z)9**!>@Qu>igCZM)7f0#t95$gFSt22 z{K*R%)=ca4^FW^EI(@V#Im>nW_Me*#Jq^=fh8`lhnMmZHi(#((;dOd)Z@wFya{$)q zV^^4LJ{jsvHlN0DFKj-sydT!-|NFC4HSlw=Y_$2TnghB{UzAh;0a~Xgz|a9&r*re3 z)jIu_pPJ|TzOU0gRMz2jdh$d~?!A*nGTZddBu=QTLtveL+5PElx|p!3HeGo2+3vlQ zU$g(#>0bq>Mw|X8#<|(1vpNsxI(>5Lls*n@?C*6tH{W}+PVf2=reXH$^m!o9a-DuD zm7M)L{mVptc%4o&-L$vPW>1P}2jV<^L~??&^K=&&_$=4y^e5HPJW^yst-1OeGSBsWU#BmkvJS7)Key}j2k%U8(+|CM`h0L|oXoBz z>1;Z=w`}jd4uNcKIyKg0(`f;d{yaULI;9)H*8X0nbMw77>+~bOht_7lPT#ti`i@=Y z8tOd#S0LSsb^47&o?^afL`bdp_*4z_{uzZ@UB)$oa zXI^lKOS9#Tdp5G zMW5hHtORL!{NCc7_#@-^9N0!Bmy*U%(h`>RK=DgoP?Sw&3fiq)6n~)jWkiFB)3f6| zqCOd+L@lF!qPUr{G6}5?;OAKD>QM^{6W1UyxM_ZIvt&trZj7?iCnggmpjbmyoLQ!n z>vt9>FnHG0qw&W{@QE_?_Au-5)Z4zqcE~l#U0~5KRp`|M+@PEPZCs{mevqfW*hDP4Hb`#klQ3?PGj1EWGaH+;Z*Z z#BbwhaL3x&EN>r-PY5GtzB zW5p#E`VGZ4`WPNQ1IQk_lgB;>2=QA!5@XT6yDxU)|W<`5Z2r+o{?eUWdcw#g}6Teo9uMPx|5` z!HqRlEj6r=C_W-Qp1#f7)X*HQkJOan3%aF*qtDQeH-3h;lz%2zRL$~D$A^w5vhNmC zRGk^AOJ*m&#%JKmSkm~qriRG)XlrDAZM3SfF*=?;TI+SYf;Bafmhs67O7V?kR&&nD zxo6Wi-UEm|u&A%E1YsY#X9}PPlVB;}Hvv}y-VV4Ka5dmIzy#oKKr8e^AznQR0+s+? z1{eUG16T?8J;2$3D*!tIp9Wk3_%dKO;QN4E0f$4U?gT6Xq>1q}z$t*Gm}5%;!+@Px|_7fREuE zt`hJzoK1HE`hEp|0N?6Dxq$aP)Yn%8y%)tT)G2^_aKCmQ;1iF555SAoq8|XQ-KZZr zItTB|1pxnrmp_{Uf4Uj<173%>^?LxP{2ui~v0d{L>IW=-8TA96g1;Qt3Ap=p)DJic ze{*;z;B#-Getem9<2$GykiPdZ5Ad;nqJF^G2dE#gc@OG88lM6OECD>@KM-%gn*r%d zrm>IE-+;Ba(Ut&w7BC;*svHAbUjkSH7yzUPiikZq*H2Y)W*6q1JmQG_PTY4b29~}D ze8WQ8-^CLwD7@7YEEva z0SW&Eex6E0zXkZ`)6l;Qd?F2f9@_h18v3!okHj2-?~r_`Ph7wk0RJVzq8r*R7at`X zLVVHZKPex+)}DL_Ul07Trt%0sANayF^!EZkDh>T3z+2PMKL@;-Un>7i;E7)&KQv|^ z0Z;XQ7hxK=+iBbu(YVF)CKQw`q;b1-c(B0Ne#A9=;E-e*I5z&!2^W9La){4`C})w0 zPwMacf$s$VUgDGWx8?frf*w=T5alDN3^V8Jvih;gm zWnbUZndn!h(%%pIyoa+de+%d%uwmJjp9kCbA#B}0Gx76WDnBmJKMI?eEqx8>zXRKu zZU5g1`cIz9zW+CX{=TRC`d)=xC+Dwal3{|qoNNHi-(8>&Z-hRCTqNm@^U-QaneWB0 z`HpA%`q=BX%nm|73{w7d&_D6JzP>$1eg-LjKIm_KA$$HG0ln=H+4KJ<=udhjd;Uj5 zx8DD!?D-FYzHwXj{Kr5)=FRN+zaR9LME3k|0sX=q+3`!z&6FzBIEZ-s9L+R%r{bi(ILFwEs&5(BK9H6d3`pBJqegC5LjDC^jwxiN` zM3nzt>d(Ey$-B z0T=MwfY%6l$--f`4p$2T?MK{hS(F>kvn0DfW;qNG_rT#E`2Va2ujF*| z@M-}Y1-wzfMFQR@;DZ7_Dd6)0zAoT<0)8T3!7-wK0ZRq^x`2}fOxFe@uBU*P^SqVA ze+zg?F;w6-L2_-&5@!0j}d`S2s5tmTnC6ssxB|cO6 zK2e^8CyTg*5-*{|ODOTO{0Bt;JtW{CkLUdF5^%m4mmdi@SJ1T!xJr!cO9EDlalcu> z$%1aWfOiVHc}TzsLar(W93$k=BjC4$ylMiTD#|%sK-mrnTPAS+CG?2+bQ6B4bS1-6 zBj%NaB_e*l2|rYNhT@fDM7t#H6Z3bt2|rYNrt)Xb4X~uX8#p}iI~@u#lmahrgX zCQkfXQOTsqmyRoPmAXp3MGl+YVRPD@MI}=sHAUg77{{Mw_m0C3e(RiuSk+9xSPO@B zG8Ao$MOvDb(#ED(q%=68{H$12t%%h&ww2Coi`Lhi6|GT9DOOk2TBnrO%xOe<9L8FB z%5{;J)@V~>N<>9kOQgPvGKf%feM~8hHb(I~7MYFTvk*aAQ%zN@N-2%hsk2(D8X{_4 z4f4vEQd%8rYH3A<99&b4M9M&=BQN8msv%m9+M7@&ewDI{c%d^}krn4b4Uxte>*G)J z>ttjmn=kzh#S%aak3i5m&iF|GK;piHz!CV7`NvuUh++LI5JfE3|BJRnjE@}=WBzk2 z0hWA@$WQA*<73VrLL!bWnq>WzmH;s1r!k;ZaFF!Zq+cY^%47t{HWcA$(NIH>CcrM$uky-{AT_ITDcwp>Qk9t`j26ef3_f$^_$y2-;`haqjc^>kMv(D z{|Nk;^DhF9`jz;jNBZAAG$?pvKl2#pRfY*__%Y^B933FEk`VcuO#&ViWn7Q{(VR`Ihw;a&M+9|K?;) z9+3GY{LqxYdvPTr>|V_2=^Whn6d5B3e~ce0OSZq~?n=ZkkMCwc@o|v+E!!;-^p^;U zf15-IDD$6X!V@h$5{^Vz*3A;cua8CjM@vG1H$_=FrYXWGH!tTIiUh-_AWF7G_M6m8 zq~_AqpIFKBuQ4^)TtBBu|2vnsvjhet=0aOYJ8MkL1t-#ersDIG`xdGAVX$)nBZ`G{ zA+6Gm85471sil2N#pf&1?xf<6RHQvg#UGX2w@Aewoz(xS_z}?O0V9fqbCqKhsjrQR zxk`Z|^>8ZQ3cVUIqF6XrDO8pUy=hF$RYodOAEx3*_3Jr9LauVGBK4O6jZqn`NWGMb zKTeVQCKZ3YBK1fr{scwphgAHS{s}m-Fcwf!`|HCFkjE zQBF}o0HOXT6aVDT5dZnY4#*sLFg$WC3`i7%KVbNQ6s|--KKgk68Ihy{xX5zX~L@lubA3fC-6lk{Ivpa zGvTin_<#xDF7Q)K_=N&LPvB*Hmk9he6aGHn(~bKYLBCv#uZ?$)(hWSxk6a($F7SV4 z^!<7xU*NY3dbzGFZ~oz47+2KqYTu0zXSa!@GtiZ*_{Jq%7M`(d`Qe|T@*B2;N||_ zaRTobc)731&d!n6DDY-G(kAe7-_;??`8mT6v@czPe&yT%qh!rT=qZL5nGJyM5)l7# zUz6Q6LAn(VI^pI1t>iN#@bd}-tduiES+f~lL<}G<=;eOqNg}OV;N`xo#P1S#xvwel zXTbj>e(sqNU?s3S83vxLm;3&*f9!C;iGR88FWXha@S;!ym@DYzxyECH&xZtFo+q%oM9B9x z@I{9FGWZ`sFV9zGIX0}9i6426BKsl2@G^Hlr2Dr-FV8Pz|3577@?1yipSLrV^Kk~e z75gAmzC5Rq?FtEex6oIbU}?I*%X1la=L~5JfG2s|DdbK18+S1J{`SbwLkvIApI;-& zm**;S-2X1{@*L?)qM*~TX+-?Xb1T`OmkGQ)2b2AC8^cR3`r&dxzim{2A@3DQzs^Aa z#|-!#qMTpM^m*;_J zh)Oz`Jf;BSWjR(EWAbB92fiqcezpNm{VdPhB%d<{UYLmO`C$h9kyg%+JTH`TcrL>aEawv7Nj?>!pP??;Q!UDo=ZdmFmkPW* zPn7!qSyoQ}IuT}(J+Cl&5ix*XQI0%M-6QnLDVQMCpYq&O`YlrgUY8grYC?$5mL_<d_i@MDXbwuXi|vYxWZAy&=#Q-c?k zsWL{@$Z&rx?0|#Sl6*x(HNJzQHdI9$(S(#2SgMuAdRwX2?RD{h&+lXbzs=_30k_Y~ z0(P6-;}Ic;pt9SXZcb*mxokG2HByRzU1qTPZM+P>+bs&S+j+q0~fd&nWl)4=rpEB6{KWeiIR%&fS5xIsUa12G@9E!j`6oCy= zK<8X)RZC0N95vEdlZxQNsZOf6ctWs3z4-j|r-SOA4RrPI=3~jQzJzkg3>2SH7J|9AO zQOs{(Fg<7et#bHmZgil>H*_Ya3l(^LF29%h-i`*j3>6XMtXbc>Zs*&sHurYn@ z4v*XK$JFsVTpp(fMfnWnq|f5>_(|uuTo^U47g@ZxIPZ%2Fe>kZ7yFHnY@0#-RblCyf*X?okF{eeW|K+2rJ}-Iteen)vML)CA*fY z#@dM5Gz$}@CNeuyZ`mPrPB#P`9cFVooW^FTDh_uC6+#?}L)6g_pUrEBTtobg?4|EI zhsW->`|!_UgOc=k-NuPRji`lmMjC6QjS;mW($Lg0Clm9@q4xS+UboxsF^mBf)Y1k! z6>Vs%uZq#>xzH2+<_iX7P>slr($hD>X~U3s+~_-(6Dk9f*HCx*EDj93-S306Vb-GM zUfYmaFqK?h42>VN-R^bUaRb3PK+~p&)M?X$lS9F&p$vW0KN+1)J5&^O&CtRPp|C@% zKpS}-1UxRkvD);_V>80xbvpeXp95W!GV$1GL~5h0xDG+8ueqhE7FQ8eygypq7K^lE zY}j>z^hMiIrUw(p?({fqnAUdp0GVl#h4c4L)0gA$deD&$=rZu=_c)+*4MUK^BP)R3 zf30t-jaI96^kaq|h8lor^?IEix6cKka2V}HdOrGv$LsdFeKwC#666esVFI^Cq38ym z1n3(#RD%umdZ1NcN?gWike&hPS3k6m-wUPZh7V!GJHUpXP%pSnohmwXVtrL>D+VZ2 zL9%umNsAB4aA!pcIk&jqYbLKv=yC7XQm}Y1LCper=FKImk zpXoCteJ~F^8uDUOG|3>MCRclWf6X)P-nF@Rx`&Tg@Dbw z^lUopcCXXrg7w4t4mKWY+0g0mWvZL!q>3K>{Q`B0VO@|>?%;}(A8xagQgHZO&Y|@z zRG|mWwPQ4~7zJYnX4LYF;n~$dySBAPYSu=!6IqM9Q_v60l(Z1w|B@aNk<8*6_*1pyx(h-_x}S1_f8Q2 diff --git a/isim/TypeCheck_isim_beh.exe.sim/isimcrash.log b/isim/TypeCheck_isim_beh.exe.sim/isimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/isim/TypeCheck_isim_beh.exe.sim/isimkernel.log b/isim/TypeCheck_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index d292b74..0000000 --- a/isim/TypeCheck_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - TypeCheck_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 60560 - -Tue Aug 27 12:53:49 2019 - - - Elaboration Time: 0.14 sec - - Current Memory Usage: 198.603 Meg - - Total Signals : 7 - Total Nets : 67 - Total Signal Drivers : 6 - Total Blocks : 2 - Total Primitive Blocks : 2 - Total Processes : 6 - Total Traceable Variables : 8 - Total Scalar Nets and Variables : 427 - - Total Simulation Time: 0.15 sec - - Current Memory Usage: 276.201 Meg - -Tue Aug 27 12:53:56 2019 - diff --git a/isim/TypeCheck_isim_beh.exe.sim/netId.dat b/isim/TypeCheck_isim_beh.exe.sim/netId.dat deleted file mode 100644 index 260dee88aeaff7534167f0dabcb936e49ad924af..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 84 zcmXxX$q4`;5JSmsNWw`95!>H4nCyk3_nrD+FisO^G8P!#R$c$eN+LAO`fA>># zCSUaFt$MX9K2~-R7Mhc)c52`r*^?hf&AqBx-^dG0Szl9>o|Os_GIQ3rZoQu)KR`^9 zX*QVUX*TNSy{kdeCTRt2ptPqwKr5|%0*U9>g0L=007w!BVUg5>fLj(2d_e3XdZTN% z61$aG^1wx~ihu<$l}g1Hn<(Q@>J>qo*~BfR`0@xCfJ$LN^u-T9&Q>{xYAswaEiE=G zVTnK)f#bx71BA0x&f$;l&Quy@dEtp=6{Q$_|9dd@F!twQWZgyFBt;DJ68Up@1Z97X za%(v?a`<=fw9c6sos|W7D)0#A1h0Z%qXgR_ASEc{v9~gmY1#9Q=4s33?pXD_dxrU; zX`bg9O9Ohm>E^?+2yb%;lAvwNoB8u#DcNVLc)p6NR>g>_#mnXK878(^SyyR4nKs7# d)SkCv)$^Nt&h6R%+}_U5-pPB_-^sSU{~JB4QCt83 diff --git a/isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.c b/isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.c deleted file mode 100644 index 89062b0..0000000 --- a/isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.c +++ /dev/null @@ -1,39 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -#include "xsi.h" - -struct XSI_INFO xsi_info; - -char *IEEE_P_2592010699; -char *STD_STANDARD; - - -int main(int argc, char **argv) -{ - xsi_init_design(argc, argv); - xsi_register_info(&xsi_info); - - xsi_register_min_prec_unit(-12); - ieee_p_2592010699_init(); - work_a_4228824053_1272247069_init(); - - - xsi_register_tops("work_a_4228824053_1272247069"); - - IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); - xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); - STD_STANDARD = xsi_get_engine_memory("std_standard"); - - return xsi_run_simulation(argc, argv); - -} diff --git a/isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.lin64.o b/isim/TypeCheck_isim_beh.exe.sim/work/TypeCheck_isim_beh.exe_main.lin64.o deleted file mode 100644 index 640b26560b813076684b0f2f750448c51deddac7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2672 zcmbW3U2o$=6oyZdg$>IRy31G5g0NtPcBNetyV-sqKuOcISt;tGHkCjK1~-{atTs+$ zyCUU=6_t>p3bDU}-@qLgAi)Jc0C9o1)j( zt+AfXWJp}XS;s%TYmI!ee~C_!1d!dQAPgOe~-uG$ouMq zO}zT&6XhxY_!+3{qilWjT|8!5nd<#{^n2WY^lkpV_rIZ!`u?79M9H?7m+eyV)`GC? zCEG476&F{?l~RgZj{WDxn!`+E-qLL z#*LY~avuopVJT!(&Kca`VMea8&tFeJ0>gbtazK|rS8M+0)pw8(7rdp+r~ z#OsIB=ZUSGj=a&`8-2DL?J%xo&VL#(da#i(`@B=8`s*|BW<6idXy+ zGkxz7IZX0*(MXv-f3)?vLvB102Mv^ElG}&$)DkB^^=a5BKPb#R}=o?L>hTG+lceN7S*ROxihB# z5uV@6sr9P!V1fDE>F}t9=Xn~(b9RaT9IHN6@6OPNGx!%X_?I*I_cQoUGx!7Mn5Wul zRcHB@t2wje#9m=OO<$a$r{>{6R=cvbC)~j8iCx(>QtV`mE4N&-KK>mo0I? z!Dqjk(U|}HrHvIxf08Ba4}vIfM}|Ur9oORp$zI<-q}#PxO}w4{ET4*uzS{Uu@Ao@y zOIX%oiME?-V!K({T&rxY#j82+1n1up;auL$fZKsKBUPjXRQ zombG~J}Uk)`!H~vWs2VbWCOp -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/ise/gianni/IEEE754Adder/TypeCheck.vhd"; -extern char *IEEE_P_2592010699; - -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_4228824053_1272247069_p_0(char *t0) -{ - char *t1; - char *t2; - unsigned int t3; - unsigned int t4; - unsigned int t5; - char *t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(17, ng0); - -LAB3: t1 = (t0 + 1032U); - t2 = *((char **)t1); - t3 = (31 - 30); - t4 = (t3 * 1U); - t5 = (0 + t4); - t1 = (t2 + t5); - t6 = (t0 + 5104); - t7 = (t6 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - memcpy(t10, t1, 8U); - xsi_driver_first_trans_fast(t6); - -LAB2: t11 = (t0 + 4944); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_4228824053_1272247069_p_1(char *t0) -{ - char *t1; - char *t2; - unsigned int t3; - unsigned int t4; - unsigned int t5; - char *t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(18, ng0); - -LAB3: t1 = (t0 + 1032U); - t2 = *((char **)t1); - t3 = (31 - 22); - t4 = (t3 * 1U); - t5 = (0 + t4); - t1 = (t2 + t5); - t6 = (t0 + 5168); - t7 = (t6 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - memcpy(t10, t1, 23U); - xsi_driver_first_trans_fast(t6); - -LAB2: t11 = (t0 + 4960); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_4228824053_1272247069_p_2(char *t0) -{ - char *t1; - char *t2; - int t3; - int t4; - char *t5; - char *t6; - unsigned char t7; - char *t8; - int t9; - int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - unsigned char t15; - unsigned char t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(23, ng0); - t1 = (t0 + 2288U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)3; - xsi_set_current_line(24, ng0); - t1 = (t0 + 7635); - *((int *)t1) = 7; - t2 = (t0 + 7639); - *((int *)t2) = 0; - t3 = 7; - t4 = 0; - -LAB2: if (t3 >= t4) - goto LAB3; - -LAB5: xsi_set_current_line(27, ng0); - t1 = (t0 + 2288U); - t2 = *((char **)t1); - t7 = *((unsigned char *)t2); - t1 = (t0 + 5232); - t5 = (t1 + 56U); - t6 = *((char **)t5); - t8 = (t6 + 56U); - t14 = *((char **)t8); - *((unsigned char *)t14) = t7; - xsi_driver_first_trans_fast(t1); - t1 = (t0 + 4976); - *((int *)t1) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(25, ng0); - t5 = (t0 + 2288U); - t6 = *((char **)t5); - t7 = *((unsigned char *)t6); - t5 = (t0 + 1512U); - t8 = *((char **)t5); - t5 = (t0 + 7635); - t9 = *((int *)t5); - t10 = (t9 - 7); - t11 = (t10 * -1); - t12 = (1U * t11); - t13 = (0 + t12); - t14 = (t8 + t13); - t15 = *((unsigned char *)t14); - t16 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t7, t15); - t17 = (t0 + 2288U); - t18 = *((char **)t17); - t17 = (t18 + 0); - *((unsigned char *)t17) = t16; - -LAB4: t1 = (t0 + 7635); - t3 = *((int *)t1); - t2 = (t0 + 7639); - t4 = *((int *)t2); - if (t3 == t4) - goto LAB5; - -LAB6: t9 = (t3 + -1); - t3 = t9; - t5 = (t0 + 7635); - *((int *)t5) = t3; - goto LAB2; - -} - -static void work_a_4228824053_1272247069_p_3(char *t0) -{ - char *t1; - char *t2; - int t3; - int t4; - char *t5; - char *t6; - unsigned char t7; - char *t8; - int t9; - int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - unsigned char t15; - unsigned char t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(33, ng0); - t1 = (t0 + 2408U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)2; - xsi_set_current_line(34, ng0); - t1 = (t0 + 7643); - *((int *)t1) = 22; - t2 = (t0 + 7647); - *((int *)t2) = 0; - t3 = 22; - t4 = 0; - -LAB2: if (t3 >= t4) - goto LAB3; - -LAB5: xsi_set_current_line(37, ng0); - t1 = (t0 + 2408U); - t2 = *((char **)t1); - t7 = *((unsigned char *)t2); - t1 = (t0 + 5296); - t5 = (t1 + 56U); - t6 = *((char **)t5); - t8 = (t6 + 56U); - t14 = *((char **)t8); - *((unsigned char *)t14) = t7; - xsi_driver_first_trans_fast(t1); - t1 = (t0 + 4992); - *((int *)t1) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(35, ng0); - t5 = (t0 + 2408U); - t6 = *((char **)t5); - t7 = *((unsigned char *)t6); - t5 = (t0 + 1672U); - t8 = *((char **)t5); - t5 = (t0 + 7643); - t9 = *((int *)t5); - t10 = (t9 - 22); - t11 = (t10 * -1); - t12 = (1U * t11); - t13 = (0 + t12); - t14 = (t8 + t13); - t15 = *((unsigned char *)t14); - t16 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t7, t15); - t17 = (t0 + 2408U); - t18 = *((char **)t17); - t17 = (t18 + 0); - *((unsigned char *)t17) = t16; - -LAB4: t1 = (t0 + 7643); - t3 = *((int *)t1); - t2 = (t0 + 7647); - t4 = *((int *)t2); - if (t3 == t4) - goto LAB5; - -LAB6: t9 = (t3 + -1); - t3 = t9; - t5 = (t0 + 7643); - *((int *)t5) = t3; - goto LAB2; - -} - -static void work_a_4228824053_1272247069_p_4(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - char *t7; - char *t8; - char *t9; - char *t10; - char *t11; - -LAB0: xsi_set_current_line(40, ng0); - -LAB3: t1 = (t0 + 1832U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1992U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t5); - t1 = (t0 + 5360); - t7 = (t1 + 56U); - t8 = *((char **)t7); - t9 = (t8 + 56U); - t10 = *((char **)t9); - *((unsigned char *)t10) = t6; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t11 = (t0 + 5008); - *((int *)t11) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_4228824053_1272247069_p_5(char *t0) -{ - char *t1; - char *t2; - unsigned char t3; - char *t4; - unsigned char t5; - unsigned char t6; - unsigned char t7; - char *t8; - char *t9; - char *t10; - char *t11; - char *t12; - -LAB0: xsi_set_current_line(41, ng0); - -LAB3: t1 = (t0 + 1832U); - t2 = *((char **)t1); - t3 = *((unsigned char *)t2); - t1 = (t0 + 1992U); - t4 = *((char **)t1); - t5 = *((unsigned char *)t4); - t6 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t5); - t7 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t3, t6); - t1 = (t0 + 5424); - t8 = (t1 + 56U); - t9 = *((char **)t8); - t10 = (t9 + 56U); - t11 = *((char **)t10); - *((unsigned char *)t11) = t7; - xsi_driver_first_trans_fast_port(t1); - -LAB2: t12 = (t0 + 5024); - *((int *)t12) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - - -extern void work_a_4228824053_1272247069_init() -{ - static char *pe[] = {(void *)work_a_4228824053_1272247069_p_0,(void *)work_a_4228824053_1272247069_p_1,(void *)work_a_4228824053_1272247069_p_2,(void *)work_a_4228824053_1272247069_p_3,(void *)work_a_4228824053_1272247069_p_4,(void *)work_a_4228824053_1272247069_p_5}; - xsi_register_didat("work_a_4228824053_1272247069", "isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.didat"); - xsi_register_executes(pe); -} diff --git a/isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.didat b/isim/TypeCheck_isim_beh.exe.sim/work/a_4228824053_1272247069.didat deleted file mode 100644 index 78184d86e07252591e1103d39552ba52198dbbd1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4656 zcmeHK&r4KM6h5VumS#mil&I_%6^JuVV>(7S7Lu+Ca?vIpqw{heIzO0smQf)=`&xxi zix$x$iZ<;c@DFGuE`kVJxM|ToD6sGIy)%x_8JjBw8GZP@bI-ZwoO|wl_uez zcf!6V!0Z-2MXrGNH;Ozd;SawZtr3}n-_tsgd9bctqz!a8iHyVEwpAnv-q|M7&>(UQ zyb6wkli)Ns1HK1|W?y<*`DZtL1_FN18by>Z(f;i7@=lS5;Iz;1E)goGB0dGZO0+Ei9E z9@mBbF*I;pN+Tq7wNq9Dy(=CgU^fD?ejc@}WbW0V_fstb4#M$+pQmVtP3v1N5BCrK z&LR)@OA{n@wNq9D?jOdDA^sF(ub)TldJe0}wVr%dpd!69=5uWDl-Ewx_gP!-2zuw6~ zyQ#m9&w7=7uWaKuEK1f)8_yM`j*aFoq4U+;aYT@WJLO3}^~~znao9+r)KBN9wZ+j- z2B9_T-4cfb83e~8^zMkGO$Nd72#(LW#C<|kgx0XPqQ}Y(T_;M`MyX?~H|;@c-+#G2 zRzQEl@HY{^@%%pXcTY3e0`3P7gGa!l;Bk=OI)0}LZqh2aiA*w)Pf942P1_--V24JX zL?+{e2K)Q_yE>zN$)ueR4c*Gw7gF}fSo@8XnXik;5k^X}mB^2z#IbGL%2|=lSR~vL zJ`;->Rgf|BPMG;Snd?URN3G$Bf>DHP95HH^-^jbCqs!30+sJ>^a??4Zh@OkqNH(3D zaBZV7*L7_$%QMHEcRJ%QCx3lYJHa`|hSkXwNyE_sMcb>L7B3+S4vofAO4$7ta{s#cxgOn+vZcE{FK;9eL9o&(Hfgg{9_;n;6f=h7)W14(JofHy8Cxnah|O+YI39Z+x(4)7zPJUouYwk5 zGPdw~Y>rbIg{+65Yzi;-&PVv5vGiDMjwsz@+-=-r{P`4`UrFXCF~s!+Hs(GPI}0g> z7yJCcsYAx@rg^_R$qcu^2Hy%lcK^9^=bE4UT9a(>%p=D?t3tPP%GXdROu2fINZF4p ze!n_&JobT?@@Bv=<&WA@zIIjn_1KB?rF^7Q%3I0&B!&T4p5t>dwCXEweAYIKFv)_h!CsOU-*Jg*C6} zW$huBLD6(+?ZrUxb-n6pQ!cKM)%U2C6R)$GHzhC}zy)(;Mp zthBD@^LjdwNm)rfr|aolB0H#6YL$`$PCy2#v(xrKtzi!wsFh}BY6D}1LLrw)8DtUYceX?CJT(rgm(@tq0(;80Q ztE<$i+fyaYu@5^;gZHAuw0(^R)9SO>LG`4TU)xPW7j3u#w6v+&a%oBh>$8NHXQ!v_ z8E`){>)0Bs?Y4$fDjyUEcGcQnFHPHm=&!4yV2;xu9c$d-5PvfE_kd`K3cl+#g8Q(F zp~A}%Pwkkn$1cX9>BY4{`2!Thl`!mM6+mSWHP#J)p-)x)=h`R17USzsWFdP;2BA&- z(FSD*N+@o`N$#orx*0gyWs=W2XUtNn?3J?yJQARlGJpvMc35I#VRcx04 z+t>~Q65wy*+M)mOZu@+G&2jZ~@N5H2ykwHA@1flb{7GnDuLKCc0aXUN;KN<;y zF8HHe@PBo|Kkb5VfOYD`e`^<?m^m+>VdJ3ua z6q4&HB$!ql;g5AxYcn;6S);{W!$#5CwPVMg!h|(p3>OO)JKU&Qb^AcA;n;P{yKb+6 z;F?}On8Q`XY8={UrE=+H zVlbua$y6ej9n58QE0X{)mCESdOw##$E}KseX0wTOHkr`VS;+=DR_e9;fb{-az2R6+ zy)@IX_LrbJH(Pf&-7X5}37AP|A&XotpTb`vGRc)40Jn`zP=0{lohV|vhP}hP%M*?| zD7b^-nM3$*5ClQ}FciYKAP9o`_#>Hc13?hf$M1mfKOqQ$`skDJ0|`vZy^ z_XdHZzB~`3UGPI)@TVjk*MjnWMZ#tO?+IMZ>qALj&Z`%RgQ)zs2wdfVV;8*I1%F(^ zW&Zz=aGC#Ufvfz_NcvIWOY`ufz_F6w!=N}XRsK6AT;@{}xa#Miq%ZSakZ{=#(BOj8 zjlSsIruzcnbk5TmLO9(Q=srj|-4~+VyLaD3|8(!5bCvX|FVy)7jHkINfT*a^2NsgN hXb?_*_9{5VbrhWVzoOv8|62uLnFk~eLUC!{egdurwR`{o diff --git a/isim/isim_usage_statistics.html b/isim/isim_usage_statistics.html index 219a6a7..619cef5 100644 --- a/isim/isim_usage_statistics.html +++ b/isim/isim_usage_statistics.html @@ -2,14 +2,14 @@ ISim Statistics Xilinx HDL Libraries Used=ieee -Fuse Resource Usage=2640 ms, 103940 KB +Fuse Resource Usage=2400 ms, 103960 KB -Total Signals=11 -Total Nets=6 +Total Signals=7 +Total Nets=25 Total Blocks=3 -Total Processes=4 +Total Processes=6 Total Simulation Time=1 us -Simulation Resource Usage=0.15 sec, 275152 KB +Simulation Resource Usage=0.17 sec, 275152 KB Simulation Mode=gui Hardware CoSim=0 diff --git a/isim/pr_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/pr_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg deleted file mode 100644 index 3f0864ae063808536c2621518e8b3b4a39ed8edc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3803 zcmd6oPi$0G6vl56EoxExgF>x#1aXQ;-%Ke58iMkt2nm|T#@HCmWIFRKlT7E;nK$jU z8(bP!x?|x+-DyZ%khn30r8{HTkhm~0#%M@1l14Fx)bID^UgmZjC?+gC>FIawIp6#4 zxqsf9&o*BC`@)stTgxjeD<2tSj&&Kcyv~?ScXjshyNx-x!I-o6HvOynjrr~YW3~vt zkTbqVIz4hpbu>M09+E$4t6PNqnh$$KZbLJrxL2;J%#2(uCZ^DQD({n1J16&RKWO}v z9G#!qYF9Pxm#>%q*;eaT!zo+qQS58>Y5cTmTE5xVR{K!7C*(>ecJZ4plhA+uTUA&u z1)TmdpEIJuHsz@62n=&#KIgb{+m)ll`ruev!c)q;s>CxwG+1XRolu+|mcyFhz(Zhiwgn&2xhjo6tj?G?%ylar-f7H7bpDdYb*pn+^|f?1Co0DH zhz=XMUe3B+x(%Jjtd5W9bW3Ba)j4q!9eUhkpXa-Uwc|CBMwEx^zJr`Q_mLdt&i%R) z`!y!dodh}CPTY0ct5M70j=)6+u1bmV?qJ>b!i_PHY}rj)xR91_CicM>jr``ni;_j}kGr04_AI?%?%m{@yh9HEc4GS9Zu#tI+Qz(#J8jJS zuuG1)eu#Ouc{kiUeJ98Cf-xVuZv19EKX50;XrnWE`I9cc|MoHS`St(Pm^EPTZuqgg z7w&!F4r1I1j5^Oz2dRV9LB3@m`wp^KAbSDwO$E6dAm--e{^c|XPDe9gP^yK&bSYn{ zl!B9EV`KaF4j(QS!)h>F9h^H|G(j{Q2a~08snQ6t!-M;RlM`dPV-rB2dO4_73qdIi z!=M%ybLD8dRLEtsBg4tVr0Pk|q+d&$^rSo^&&uDBzb-!|KOx_pHZ6K?E-b`RHOc(9 z=bIha#~YdKs!26oT$P}UdctaJkEEz=S?FrguCjO}Ukm+lD~|J1<#2U5Ntx!O63?|L zZMha_u@lKAEsH+?#cCx@E{w@#Q9U*b{Hugvu{NJqYC2vp z3)6AKOqJq7RIkLQREfjs&^wIefLmwN99F|xRIbORsM74m1XJ}=xfqng>3m_KR?23A z@xh@?b|iBkyC7Ih<3(fX8HHNWqfKbyOo-LOy) z?1!uDnK}2&nKLtI&N*{uZtjg`6&IRvauj))l&>k2TQ}OzQ2bX>EI(vff%7W)ieEWP z8Lx~4W(5BE*&TvBC1Ht?M(h6Frp?-pK%#uDf?p#awO+C{G+j?`*(HZos)Y@$xVy4 z@9lZ^{_3ai*OtFR_s+mS+5cKkZO)jynG*?jCjOm`f2()jdc(tiz3uv`WiRYK?dLD= zxc0`ouHW(9%JH=;c0B!?*gJ)9KL2)M&N*uz2WiI3k2@q&>UAX$>F~`N@XIpb@5zAw zHSiYvOTD%Lk*?l1GT=YVfd3piE*&2y@D`Lwy+Rr2Yck*$WWehg@DFCdZ_R*jguSaCBu(aEM`CJ>Q6+6-wu3{^3K47l~n@6!9szL z-6AGX^?mquiW<&r5<&k>Eq~ldcC?|D2>07x-CT{(KdQ zF-oD5e`7L!o8Y6Q$fHbZYK~5-jKCVSPqH~nJ(J33m8rp51SXY|wZOkdvKWy$^{tV4 z;l{d1V;J^AQRhY)BT9Q~M2#+J4y&!p38=C4OHLA_#b|!?0Ldez#gqQND7tL2M6(y%NRJXRKRxmHps5ZBRYt**J z2(L)=1Bi{ilc+x0)XZh9ZHdfB6Xr%*K*%aq=Te#Grk1FJPD-%5F03{-L89ttO?{-X zF1dk8&8C5=X=`br24E=pxU_^BM;g5hRVH(63AZ*iv{BcqbK4qg*cedZL<=H~bDLNZ zk`anP4OXBtHRM{{3P!S~c>#uvP-58TRYx$8VT?sJZp>+I<#?bPYZW#i&8pqyvs-Oe zx6enzs(O4ro5SnzdaPcr2RH0apX#zYJWhwhWmjg+4yv` z;QG=g%9CpK_p$uLlqZ$y?_v1|DNm}@zmDa9O8Gp>uV(q5P@Yt$zl-JXqC8E7{)H@m z8|6ub`kPt)7Rr*(?65tucJJvO241wTPS}L<*h7#E#*mN`YkL!hw`K< z{Y5PQZOW61^eZfXDdkBu`uBYT#Fs9jJgG$gE|#B4`I9N%$MRpLJgGo`56jyrPeb3o zj^)p#JPmpOYL-8n@-)=_T`YeF#~sc+AJbmIAxb+QIxiCJW`A$ z4vOtWHgwaTBFb(2XKtutPfp0UqqStN`K+J9ppNjZ)w7j}y z#O+AtP<2;6wR!H`xuxc_7NH4LZpxaQEQ&()M#pSmD9D!oxCQk*HL&Zc&0SrWF@dy? zL)zctAEC`5eaaNHAx{1I_qqVUu$-vRg7lv&MCSGtdMEv0Yym^hr0 zOTD0(LRxQp17TuQD(P+mzx%@X#od>+x8wDMBhjzk+!eSya9LnBlgJy21xq%BVz+id zTS8jss!*(Qawt|&vLvLPv?V96*FT%oKGgYs+mw(tyX2mZ>k4wBzKYnK{4#xfiGFRK zZVG6BD>JX%6VzTZuiYB(J#)j?Lwc@xJs z@7sFgn2rwzq9$$Q#Es^)&zaZfox11Qjt_I%_U+kQrtJj7=gVRRxq$y0(oYI#J;C!# z$mEq=XkPCe88olYnI6mQ!M~AZT2F=k>n`-!bZ{9NT$1C9n-?uWmj-iol;u1Z)Se1X zd@ktg|K9rk8epl#asQI$yd^V7g!G&9F)hvOfA2T1e?R8L|90(tu(58=jx40#*^GIN z5~kD=3$tUKfovGY`?F)5fy~I*za(0+7kxlYpblUSpGB!qY{aRHF%3%gUAdX5;+_vS zkRt5ar}gdGD=5ZwGKvjk2sdva^S4=Yj2k%$@)e6Rl2^g@B?J)U?#$#n(vZK1xd3sE z!UVo0HN9MWKd4`quTRa>f+cZ$F0SDOx;0Iu?qZQp~E`biq|6ebFa_IsXjiyjGU;T2PAzC+=eWw(t1`@39At zq!>2L@qv=8#1P&3x_Ry zjMLWn4Bg75I>~oPMVS@4Y3~Hgwvg6M?lq*X*ac9oJ)>Vy5Q=q@OAl$C`+)0Z@vc^x z2iKJk+>Qh;q^}?X?b}p>oIdW7uTL!zHAB6csu9p&2f zC0A)XP}iBEjq$wD#$9=#iBE)L(Gqj0UfMAqnJBsLRDx#pG0Ue-==m+KkfF6m+Z;+*stLGx^PHf3K}5 z04}IMMv`6_n|wjgyq2X0$8Rf@<)akT&jvFZyr4b-IJ%{`8*%;rAkq$4 zmVovIY|(2Vp_-w(d1u2G?Zvz#Be06P$-L-8{N}Erii5e2F)_6L=XFxT$NK07t^Y;b z#6a?aI?sdzHQ&6}RIZ=8CC_Bq^Q&R*=I&W>%xdfEL)O+&2*NN3q!>p}TTKwcsI8lr zP@gev{qwuSYU_;akG8hb^W>2B7)^AT*fk8SpxKvdVrf#O8cZ@e94BTCGqEvlVq^R1 zF57z2u7FYA2%{XMsmgI7y_*O^9a~^xyH_Krof*=(ActsaiC_F1?=an^bdv7w00Nfw zkt9n?;*h0%4=@yqjf28N$d!aWU0bz{uv|?2Oudt`=Czx&&PS2Z`Y2dp$s4$xT|sTo z&w!l`K?I}b=0gcWI_nSpWLQgQnI)39>m%RGX?_!jOXbrx+o zFGn=NymlmPB`oyBzaSFfMwy8Tqg()n-BbgTg-Z%d7qDJ6FM0}f=vjj8zhcH*X)Np@cB^LP8x2lcu-{z z$=njZ_{z6v09H`C9P7$Na6luS8XT-*I`cV=bzLad%~iI0B}ny=8q-n1iUkMM!CYab znjknJ{T0Chn|S(}P}LAAk2~ zYwHn+6^?Fr+wgkAEg!A$HUbfhnmZ9na4d$m^dR-%!rMu&ao5j6_2c2~VG3`5^HK_jRX>B zD6lcXjtitYwO}}>5Tn5g>J!=N1Z+LfnCezKrm%ZEWFS2xIcXOmM&g;*=Fm>r%fX4S z2ekLZW(0|lx}C5exJ>63sI$zmHt_9#4#`8?PB?%ty!)I(Ru{AY(%$Rlb}3sARFHMcQY2A^^rn zH!eTgCe_Q2&{FTgqmw(v`L5uS&R(R3oTNQO%Q|?-R&J4zdTMw}WFz9;82S2MI%|P= z*BBd6_>(R+SOYvx-RdJw|E2{+ycD+L==7nTzjgg@!ornn6@y1?s3%Asf+2H|on94( zSaCX)PO4OB^#$hjtEi)vRE`5F$*U_Gixc5ysabS{jJ&0Ox_ND%#*VDg>99>VT+2@2 z#;7ScQ1(i^6k-^)`znVF^YwO_#b+KSmp zsysepbRa^eTl)V&EkJa@+zV~0v1lW4zE3S@ZfB8lB{A7q>)cB8>SjUvc`+A4f_=jPB*okr;4Fihh1rkwVM^hlVkHCY zy#UNj3h64e&Xo+X2ZsoZagdyaSNiFLucmDPMe3UtOA?F?PfCeEyRe`l_Sin80==UsEDvaEUU_Ufy*fu>D3_o- z_APn?ZYC8#EY4eYD9?{8v=8I!9v>LE81Ky`4!ExdTNv_}*qVTK5TySKf;qeyNBx9* zeeB*UYAD`;<1fgGC%u6S%0RG*FDOW4ipn1#$lmABRxCm~pr6HJ@+i_QCO5|(M2S74 zD)h(Nf#U%>wT}hpF^FiKIv4j4i_`QNdyhgFFX5pJD^Wr_RhyBgT{!q=9J(~!n{m`k z^lAwj*3ZuC>no^r=vOD9K2Hr!bnUn=D9Xe1=IC#y;wQco0`-3j6nc!BW?uUge}1mB zmd5gO@dhB>(D)K2Cf{VJrwU?xouzeFAy=WX219@P=$?KXpMESFM|4ie3jH_qrZk$j z0XhCM2x%UF8H89G-^9!LEvij^>4REID}{6LK01E`6aKr0aj>A`E|$U>{TKBbxuBD2#pQ>R5nY z4~YY#7Y{xsUx$3Ji6)x%ZA?n`WPM=*2l0tOyJqOD=FiLdTd0D;RLOt_hvUSUVOC?* z_W=zNs6$c>$(jTXeM|)6Nqi-e#u;ypk={vZn)O@Ev9;iEu|5e>Qd8OE`_+)tIN7TnB~E zQ>J_~)tQ*`G+WWwJ_h7m-^y~`9J!v&b&YvYbl^JN<2whWmO-6fr6HEO;Z>T#htUN| zTL-MAnf&i7zabge3&`ArkiG_WJ8&eP;QVe7Z=62_QsVq(KzjlEVsD`jyFdcYUnvc0 z{i!eMbP_pvc2Z&XlFk|=*h@O6O^=oAF3Z7R&Y*X7NTryyK$=>gFc73R=0$H}s^@Zb z>ZCqSHV}mIb+!{FdwkXZNM_5h8dMl@QW97O>dZl(PqIntklyE$XsJ_`+Rt%lClL(i z^ZST6#pja}CSJBAg5i9A4-vCh=!MU(qypsgS<4R9=Rf-E6rWE$gBhRJ=Tkr7Uba4; zL?)k4@3TptzZ7Zd^Vty7b2x*~Ux@qM=TrNb&;KDrG@5}cHYEFeRt=uTv9Zn8=TkE= z+l@Y-T9@MUMb|D*7=Qq*RD2}_5hqhaf+Pa~pHB^j{!mR7 z`orA4v;Fp<{sl3~g6z)(P-){_KYKlDNSfl-DNFryK_?seWg}oi;nS(k z{(StT`t){qW4!xJVq@mkGq8f{=RSQkQgYU&_vzG#RG+>ifkW>S!Eio(Yl2uy`1Gy> zPME9%`t%J{f=yjv5QR^#qS|Q&vliaed+0v>{a=w14fW~NSwnm}_1i)CbdsKY`a=YT zPhW&IoWw3dkx!?4TK7WAc2atXPp76bpS}%}8YlD8hlo?EPiJ+~QIUFLoZTO|5HH6k z`E+V(icc4PJu`VqKMApfPv`Q(r*9{jS#$W5W{pho>FrcOVoI|KE*1~^ZQOmceSV>8 zsS!JsHb(51Jc!mN9X{!#5hV9*N%JPG1?0l@uyBBR}UxIV8`=-9h zXGXp?sVP141esj6Hus?>9YyhZNeVwE#X11p3|6^vK-3O}U>-3~Q zSVQad{BvZ~4X_)mi zZBl1F*)-#!`KALzPU#Ab}(`iUP3+wc=A0X>K)TfIHeGIMB_x~(;vTtCL zr}}jAWJh+L{_I(DozBAacOcfMvQB4n{h-$AbAQU+vEff%(6DA&r+Yx2#pjcw&gAoH3=hKRlgNi* zo&L`K$!6e}5ZUPSSv5y=oxUt#0Q|I0-v@z?&^n#F_pH|G*WH&o*AIQ2UP`=YITaw7Bf2Svvm>HXZviOL_Q4b^eGV0IL$vs-srPg zHRQ7^sYi~)etJP-g0ubf$q?YvT&L5YR7dm3kR529{>vYs3l7sdJxsiguhah>*6G*X zo!+O537zcIyP#)?%(M0B6c-%6{q&iT)HvCTR*~eXKAqJ`KD`Q+XZ!4(8k_Zg`qTLE zoZ$#fImG%@*6D1nAJjViw|AinlJ?k!-A}(2l*Xb@IPjd7$Ovl&hSmtSo zGjybcM|(fLVP%TXCl7jTt<%dPqR|YT@WW)EPtlToMAzwB!T_)bbs-3Jgx2ZYy=S#f z|NReA=lY?q(-#x3^l9vJJS2~V{e^)JtQ?w=E*D4`E&|z*|Yb0B(nAC)YufC zP79dy`{|+NDcuIK4);2pyYGWprw`nQ)@Hv>?*n<3>-2kxbN1`>`9z-NzG*~;bKn2k ze!BSfSj;trmN&5}zr~B0aT_%+HszwvTkt(OO4Ik`%guds74`ppp@P{&7-=*8*>GqB6_5&r)fVj6z!Qb;#%43U4o*0s{On&rCh(GWCGIoRF0`aKY!_|7NBlW;*Vlt zfNs>q`lBUVaX+ZHDM9U>hj9jJfPW>37{s@AL4c08Mg=~2xlDV1ntA;=k9mExWEA5u z4_a+r-$w}iMSU>sW_Dui5|e%z+EP)Hujk-2+oA&S?07RryG-%*nU_sRj=g0$E2RAs zEwE7w=!0_cucNi0*duF_dg6AHE~JkMX+`muAx&?`644n~;_F9@!`oxQ;S}QVF$lnc zzGpYsm$y^<1&6yChxP;q`d-iu`bN<%`bJT_f`6?j%Dz?<;@p=LcQ91qKW5y2Rz>5f z-=0rz_v)^%VkARae@J`1T>o19X}lp@5yKaZ%C-Iqy<-h%LfT(K+NKJAzx^K&P;UYoL}z={roART81i=_>(ACp+CZ!6q5}k z;nBb=Fg_KD2KM89+j4xijkobHxPc=WqH+JVKe=%sG%gPQgG0d^_aCUOx1-X~ID9#$ zf!b9|?P@6TQ{zGv`t>C<(ZWh&3->HT3-3>SGVt&8H9zC`{1UAW#m--QG9-_EX$^st zK43l6vDaHM@wI^VF}^Vt+W2v9x%OiG_88jG`M2nJ+aKwBlB{v>pZ z!96W+hAAmxN3B-v9*5J556$}A zs@3MTxn1}&uyyFiX%+f(Fg`}ySXv1^)wYA|E z2vmxXFH==HpUb^~zA688q?e)_EJznaZ9;&jVs3N+P61p4*aX-E_yfQlfLj6g0lp2G zk5^N^0JCBNbOU+;uK=tBYz1rvTmrZR@F#$)0e=lhpTv6(un+Kcz&M}+14|#7znKgz zVBzhM18@Q$&6Otrw*q=$1>=AZ0Fsmb_MNB~FdvK7dca4pz+VZd{}}XuK0LLi!*Orm zVg3ZX76VY!547Bg98J_FlpsDr5XaKNB!_@c`u@Vz)yCf ze!v|sqkh15Uq$_Z58w}K7vl@2_q~bw0T;f7`T_5H7xe?qejoJ%&ioMdMHDLwba6(}rPYzh<>cjN{OEvD}m76TC|%n#xg{o4w+;(xJZtr`1d`Shc6RCT!g;||6;(~ zB@&SEkK*6`Y3R2DzdjB9Uf}!E(C4AOucVJumM?*souLZTZwOqZM} z8$xo?XF;i)@jK6iZvg(EDf|e(2>AVJ=d)jVB6B& z{|fHs!S>vZ`@aAk*YjzkM^<-?S~@Z|Vp-m;xw)~N6EQ8ArV_mq^k=|EWlLWR`jxO% zzcTW#H}JnLng1Q2{~T;uUncrHlIfoS{Udm&lC3=Nf!+rjnJs-u9&GlHvX_50=)d~k z*~`BO^gI7w_VPRg`bwNk$X5Q{p#K97hh*FTj0Ghw=f!?|Wb_RNppf}D( zvngr5m%!Jbfv}wIAuvA(`!Edu*`UAYnSp^1jq(h`e-Y>#pUYnUhd^KaLiY0S2EFN} z?ByQ^+q(2m*~=dU{pGu|mp@9jdw2Ho-vjzL-^gD6?VykD$xeRqJ9&t?{BI*RLs|(I z7S+JHR3JGX_?f_y-#&nU3>MBbtvIpJ3y+ETW~iHFeyRcd8usCJCaQ<~F~8A|Eyew1 z8Sa;$$OE{)4)dtmTxN>pF3np!qGP1#J{f=z-FDDj{m#I^t3)@auTnvKLVWvF(plVc~SXsh%JkO*9iGdDyJ6uJ4SIfrb;QYEOHzk?}6hz z@EPd=JR4+}6gM?5@w;yHvm}{5x>DiQ!e|um+e&ePpQT2Ne7-3Ef1eCA5vt1M$FHIw zG+|fKNI!#c#q3&V@-qn2!!PokxcKT2_K0T{<64YRf=t=JyoymsTQ)%?$j;FP7s#&D z1l)ImpQR+eUF69%(bdj@0u#wDSwEJ}tUZ;29{tDivVUNnS-wcz7sZb{u;PJOy6ZwQ zZkG!E{9_r1UlaBAPv!X!zRqE_z`LgK{5@ag z@Kq0o-*j*f!1l}+5+s1Ny{umB#74TGnS2A4Ziu)3lh`fXnFQLRsDDfHC zD`vq@!gqyS5=y*;5-*{|XX3v^@RRU6A}^uDODORYO1$L%k?2>G;B$`X_n?5=Pxmv@ zcLjV#&_6HWh_m_qFADf8G49U^_#;7gkASAsTTdPvMG3EM^f2Pyai-Os>YxmvVK!b?Q{@)Z1m z?q}j(c7vbYYQCPsQUUk=C(kduj>GBGrhU~iVfu{ACR&`O&QgyBn;3Sh!{)F|xHMdA z2~|fq{(PHfqN0?xE|?dso&y+d;jmt&B8|~-OS4ki*c1(y2BwyuAFZwvxw^)-(m8FB zhT8KZwMr@F>Z@DpmD1V;jo`;&w1wZ9A8u)lG&Lq=RNQL`H&jyrk!o&;Dy5Oe2!5mC zcKpso2KSn3tE1IQX}DgU+fqF*tk&0}tjsB;HPNP)R#eErwKcd&1&BM!GD)iEMQTuc z6L{jMlvTu;&S^za?9W z<*g!)vx-1}A>A}wvV4)i-2rGUzfQz!>qc{e%I_KqBPq*C>|ID0%gc2{{*9cXP!b9# z>zC-&NKkp%|HU`@k;D2yl;6j4gFmwWBxVf~#`5_K{p?o$LIxDtGc{hR^{>ZGWBH=* z`x$xB_Zd(oCl)w7pIUzp&{RI5=3Q0D;p*ZbwZBr!_kzq=zDSfW%Ch{kDdjDqyhW5x ztuHnId`kHVqWpv`%kM&Y(p}kpxo)wF@~f$FxTJo|`inSP=?65nzi)XJyVJLv6HMSB z@hi(q_!jOM%gc4;eo?+JQIaQQISD^VDZlHsDn_{LHbE~ZKF=x^0VVht3F0N&zwgc} zb}N1-1B#b}#IJ0(M9^OpB>AlpA)qXOehQvw>5}kdq-EXghWI&UB~P3r2?ahS%lM>B z5k|RdH7{Th0-uTOH}FsPo3u-0=F-)#{F0ZyFQvh$^>eE9zqurx$v@;~F06(0v&Ngb zkVN{=WPD!Yc}6mR1pJ)eh+^qnXsh&N#+$kD)ajlR8GoW8{X{bU zq{MTXWPE`l?RqkPv?A?wGQLoeb~qVthF$d=Q7oMct1j)P@n)`avLfwaGJXu~Ta^*T z(z(i5McOap&0OsMOS_beKSh!DCK-RKBJD^r{xn6}hh%(l;(1as{&Ypo=VbgDikzp( zc!~y-FVa_&GFtgl%5w~wcX`S?1Ig5Ue>+DR+CS%lFegp_kbTHW(?4avQ@u)|AF09X zN+ffXLHXr;x>n%#3;QGIX*=-5r#XdBCixdJK4X;pl<{58@Fy#wrGBIauOB0sPX3<( zPxbbF&p$|v)JFVE*Ka#A;NJi~M>$=o6732hPuD*DBmBZ2R8ck&m;3{1!dp}D+|Hhk zLs3;ko}efDNc4RxlJTbq{6c}3^`0g0mK6L%f$zD4^O5upfmd$l_%+DWHCfu&@Kq`J>jl0!1>Y&~s|8-RcbUK|DdTk~ z@ae|=mx8`W&`;pqqx=SV(jU1#W_x*}cjKOpdO-7WDJI6XEm z#CrS%K_3u!xo&?|;O79Zq?y;P8SsmN&%sl08IK4y%H0e<)V|#d{1~)XJ`ZGP0Z`~A zfxkn{UtKVIS>WaKyHf=IWH@8uBcEHcJ#E~(P~cPj#l-?IpR3vhpPLwds2{mm&|Ag4 zh8XO+li@|i03HSDY^iSpV>UZvB^qj`{+b#IqWcM>PJ41m~obh>QATH*GEc2eAm(P`@JQERMlbrcuIG>wEfvXr^ zmL7yHf?hrsmhHVa13jI$EP}tAu(E292~v*%Z%M-N%r?PaK3A6GJ|OV&xw&kweH52p zKA&f2J;16C_>W7pVv$J{}Fik+*{WBvcSvd>52IQhVa%yE<5nl-qim0 zG5XPpeEz=^dQ4Yi20k|ldifkZ%E^`P4D^2x^zymB?4J`5Xw&!x&h|47vR#uIo}rR{ zE)(=}-{N5*=Pd#+_Y2q=AC!C?cuNuoo_Su-%l#9{Cm-u#l1J{7$bOi@@Ix6@3VOLe zA^Tq!c)4#Q?a$*G_`Hw-|Aycr_hDqa#-GIH^b32X3DLeH@N(aUoz=p47=CEn-j#v=K87Ey$o&+l4}TPRxqtLUQI)xX%P;qi%0^wzO{cJppQa&HFuCo8&NjPJWZo zk5=SH(Y8IRh>5bl1tT!@>#Q06}ezi z?xJaiTeVGUT|?8H>IRkVIjGfb?Fzl>(GZT}T@SazWmo8i8mVokoKxZ37PW2j<}Hx* zl+6gTYA(DqaB-O`b5xB?57xpqI9M&sU}|_bM4eY1X@u-aZ^z1V_=g-cy_$TQpvIcl?tmeyGhMC3RSf&D-P zwgVAZF}k#WrB=7JR4-7&jkU=Lu5{}3ic6*jD%49Zyl__8Y;|^EYDF0|E_lU^z{Ta$ zhQ426wWhU==i6H&YIH$!SZxhQ)!Ojf>b8ccI={N1Eu5iEHkaGu^g8TL zm&5Bt%IUHC3<9Q?Y_L^!uhoSPbbAk62rqznJ#Mel=b^s0p+QbVMI?A@q^=Q`0Gpp` zcs^|+rmx-ZcKLjm89uwy?QnyY*T5%z5wF`vw#4bgsChgn;xUfGuv3C#koJ!P)I_Ph>u`bdWR_BH1HMK0rBz!^{ zJU*w#<+8aAV?c~r+Tfug^V%A!qqJ`>EXknbg6l{xqQi;~ce~ItP6tc|Ca-~W`XY7= ztIg+ywqe$yT^{QJi(o1_J?MBJX1mSfvf*TbvHNGu4yv|4jW7q zY>iR5(@TmWx528w8hPvl+)kgd+Vq8(zT-_!htG|7Iq?psapJMQ4%bCmam;~CUvo=S z9gY~Ncwe)oEgEh`&$D9!=~>&r(~W6ibGRK=OlzBKh(@$X=KMX+^nC0dH#*V|TLu|@ zZaa*wVF;3BWDMwi(}t$HNR5gYQk@xk7?a%Y_If-Hx6A8w8T#3`D~^hJdCbi>%f>bq?&hp`L|fp9lyy>yXD`Vnnv&fF(9C$!57*9_Zbb2(ww z4Qgg^%ENdM53GvMW{0&v2VqFP?o=BXX`I`nkW95L5uE#hhi`$XOl#D+Bz1EW0&f;3 zF|yXkbzv3fixA63Yw9D7bs2gSCdTEY|Gh3m6;|lA1q2-fYfLaX4veK3q}_km|Y zVpiMjh+th-yT@kq`I!bz#tAl?3tjB+8OtOMQNp$i<-|H(3GSI!(2g z+Dl!$&){cj+FDv@7B;}!Wb87`0yi8CiDPrwtmr<&h;ymfa10fNVorm-wRy?D*^*k7 zz7RrEn-zhM-R8jDL%?8Ekn}~2(;lIyhisui&h&*6k(nD&48^ud_GK6alxsV_&N74{ z>FaU39UeG1;_pIZJjNbOUxFlaIo$}SVR;-*EA-XS8bm&=O$ZkoYa23+n+N_7QHcX3 zedJe?qVFVKY6-VCHMCKTpw4Y;tYN`I5&@fy>BY3$Z20>IPWVJD>frfdtPNr!eyM3* zkgR(2*96p=hSfqwy+eRb(Ye(|ro!%ZIu2}oVIbXTF8;!T6Dv>%W)Ma#zXZ`-Eo^RE zYq*w80k+Jl4U;*{Bn7QvVEr)wSa!PXR@eXo&kUUcoA0(ctxmfQ(GZqS2P`q@XYGjA YZ3uM_SR@fXVGZN7Be3^*jQaln0rD(p>Hq)$ diff --git a/isim/pr_isim_beh.exe.sim/tmp_save/_1 b/isim/pr_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index d815201b655adc010a5572eba71230cacd68e01b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1938 zcmdT@J5s|i6twKv;nQ%06bwT}oSIWWnsgZ_xbRG{7=J>Bf`W#YmV0m$PQXcE_gM~B zG9f0=WM`iAWUbz6C3!SVZhOOM9`~=~ywF+P3&V?W(Ca!C4ouHZap1~__N@Z{&qXM= zRzx=PL*wnTsDnk^vZJI$;v?3y+xxGW!G9D%4d;*zFhHCjvN+eXvPg6~E~3dSzT)H( z%D_>sasdTf9)ZO5I)2cV#0Mk^{Gdv@zR#%|DENe+c$D5Wm1vIv0mYz-fC3nbQZ?0v z!&2`E9=Oh$LJqGJ0Rt#k8IbzohfQN@JBQUyamBQ>SW&_!Vrl-{i3f*>#*`s7+^%x? zqDrHb>Z0-_*T_-~zOY9yj?ho%VCeB89FQUgDbM%w49c-Zd8j!Za`?->*qqYTS#FQ# z`U(#FNm5L8nHJn2$8wG63FZWki6DpIRtc04Y~$5@L$|tQ8t47HS+uQIy=d_ -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/ise/gianni/IEEE754Adder/pr.vhd"; -extern char *IEEE_P_2592010699; - -char *ieee_p_2592010699_sub_16439989832805790689_503743352(char *, char *, char *, char *, char *, char *); -char *ieee_p_2592010699_sub_207919886985903570_503743352(char *, char *, char *, char *); -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_2734820196_0181651160_p_0(char *t0) -{ - char t1[16]; - char t4[16]; - char *t2; - char *t3; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(18, ng0); - -LAB3: t2 = (t0 + 1032U); - t3 = *((char **)t2); - t2 = (t0 + 7008U); - t5 = (t0 + 1192U); - t6 = *((char **)t5); - t5 = (t0 + 7008U); - t7 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t4, t6, t5); - t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t3, t2, t7, t4); - t9 = (t1 + 12U); - t10 = *((unsigned int *)t9); - t11 = (1U * t10); - t12 = (8U != t11); - if (t12 == 1) - goto LAB5; - -LAB6: t13 = (t0 + 4840); - t14 = (t13 + 56U); - t15 = *((char **)t14); - t16 = (t15 + 56U); - t17 = *((char **)t16); - memcpy(t17, t8, 8U); - xsi_driver_first_trans_fast(t13); - -LAB2: t18 = (t0 + 4696); - *((int *)t18) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(8U, t11, 0); - goto LAB6; - -} - -static void work_a_2734820196_0181651160_p_1(char *t0) -{ - char t1[16]; - char t2[16]; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(19, ng0); - -LAB3: t3 = (t0 + 1032U); - t4 = *((char **)t3); - t3 = (t0 + 7008U); - t5 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t2, t4, t3); - t6 = (t0 + 1192U); - t7 = *((char **)t6); - t6 = (t0 + 7008U); - t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t5, t2, t7, t6); - t9 = (t1 + 12U); - t10 = *((unsigned int *)t9); - t11 = (1U * t10); - t12 = (8U != t11); - if (t12 == 1) - goto LAB5; - -LAB6: t13 = (t0 + 4904); - t14 = (t13 + 56U); - t15 = *((char **)t14); - t16 = (t15 + 56U); - t17 = *((char **)t16); - memcpy(t17, t8, 8U); - xsi_driver_first_trans_fast(t13); - -LAB2: t18 = (t0 + 4712); - *((int *)t18) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(8U, t11, 0); - goto LAB6; - -} - -static void work_a_2734820196_0181651160_p_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - -LAB0: xsi_set_current_line(21, ng0); - -LAB3: t1 = (t0 + 1832U); - t2 = *((char **)t1); - t1 = (t0 + 4968); - t3 = (t1 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - memcpy(t6, t2, 8U); - xsi_driver_first_trans_fast_port(t1); - -LAB2: t7 = (t0 + 4728); - *((int *)t7) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_2734820196_0181651160_p_3(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - -LAB0: xsi_set_current_line(22, ng0); - -LAB3: t1 = (t0 + 1992U); - t2 = *((char **)t1); - t1 = (t0 + 5032); - t3 = (t1 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - memcpy(t6, t2, 8U); - xsi_driver_first_trans_fast_port(t1); - -LAB2: t7 = (t0 + 4744); - *((int *)t7) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_2734820196_0181651160_p_4(char *t0) -{ - char *t1; - char *t2; - int t3; - int t4; - char *t5; - char *t6; - unsigned char t7; - char *t8; - int t9; - int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - unsigned char t15; - unsigned char t16; - char *t17; - char *t18; - int t19; - int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - char *t24; - unsigned char t25; - unsigned char t26; - unsigned char t27; - char *t28; - char *t29; - -LAB0: xsi_set_current_line(27, ng0); - t1 = (t0 + 2408U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)2; - xsi_set_current_line(28, ng0); - t1 = (t0 + 7117); - *((int *)t1) = 7; - t2 = (t0 + 7121); - *((int *)t2) = 0; - t3 = 7; - t4 = 0; - -LAB2: if (t3 >= t4) - goto LAB3; - -LAB5: xsi_set_current_line(31, ng0); - t1 = (t0 + 2408U); - t2 = *((char **)t1); - t7 = *((unsigned char *)t2); - t1 = (t0 + 5096); - t5 = (t1 + 56U); - t6 = *((char **)t5); - t8 = (t6 + 56U); - t14 = *((char **)t8); - *((unsigned char *)t14) = t7; - xsi_driver_first_trans_fast_port(t1); - t1 = (t0 + 4760); - *((int *)t1) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(29, ng0); - t5 = (t0 + 2408U); - t6 = *((char **)t5); - t7 = *((unsigned char *)t6); - t5 = (t0 + 1832U); - t8 = *((char **)t5); - t5 = (t0 + 7117); - t9 = *((int *)t5); - t10 = (t9 - 7); - t11 = (t10 * -1); - t12 = (1U * t11); - t13 = (0 + t12); - t14 = (t8 + t13); - t15 = *((unsigned char *)t14); - t16 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t15); - t17 = (t0 + 1992U); - t18 = *((char **)t17); - t17 = (t0 + 7117); - t19 = *((int *)t17); - t20 = (t19 - 7); - t21 = (t20 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t17)); - t22 = (1U * t21); - t23 = (0 + t22); - t24 = (t18 + t23); - t25 = *((unsigned char *)t24); - t26 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t16, t25); - t27 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t7, t26); - t28 = (t0 + 2408U); - t29 = *((char **)t28); - t28 = (t29 + 0); - *((unsigned char *)t28) = t27; - -LAB4: t1 = (t0 + 7117); - t3 = *((int *)t1); - t2 = (t0 + 7121); - t4 = *((int *)t2); - if (t3 == t4) - goto LAB5; - -LAB6: t9 = (t3 + -1); - t3 = t9; - t5 = (t0 + 7117); - *((int *)t5) = t3; - goto LAB2; - -} - - -extern void work_a_2734820196_0181651160_init() -{ - static char *pe[] = {(void *)work_a_2734820196_0181651160_p_0,(void *)work_a_2734820196_0181651160_p_1,(void *)work_a_2734820196_0181651160_p_2,(void *)work_a_2734820196_0181651160_p_3,(void *)work_a_2734820196_0181651160_p_4}; - xsi_register_didat("work_a_2734820196_0181651160", "isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.didat"); - xsi_register_executes(pe); -} diff --git a/isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.didat b/isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.didat deleted file mode 100644 index 51d698dcd46432d309278d411180d5c233a103e0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4348 zcmeHJJ8Tm{6kH(u6F?BcFNhQ=!Jor%>@+w+L81l;gn+}zo#Io7ZR`tnDjLc(NKhc5 zh#IA&fG$#+NKjEwrA!AYpe2%-vG;|`#j)3d0wSI~JG*b+&c5B-+w+^A#UF3KIQJCn z(|(ppT>_r&R_av%>$;xUqtqPYZXZBDun4RI{$8a9;a@$dRPQ0Bx(+M#8h8hM1ynbF zz(wFD@BpAKu&<4MM9fMkF4d!yAcMN2kvN9jfjNzJFp)$4tH2y|x{+f7d>2?p9$gr_ z0AA5K(I;SPQWuta$-6?ivrS-26t=2f7aw___K^j_{$_QAZkdO^u*ul4pKbPG*>(GX zeZb?|(DbyQ#5l>D@r>)ze$gj)YBg<*v9%hfv@ zcRbo)W?o7S)XQc??a5{@b*`bnRa7^=YcJ8(>)6<6>h=P% z{(5krppK8?sP^%STxz1j_4Wg;bmlQ`V`8oMyTts6H5A9e%V6;do6Ny|VSFDdC&1sd zj`;PywUy$2Qs)W=oCKc*vn~F{bA+Rf$(_S{yAQEfwT}47CMNgXdyGGg0nfFL_=Vx# zamIar0g!`M-#dL!c`Zv{5f#2CV(jC-JVOzDZiDazHG>)t5qm}ib_mN_xlWoaOU_Kg z`^(>HyzF^cNBKvhUbsKbv>5B}YYbg=shHmEGv1m(AzB==HI&vEiY_ zsN=Y$Xt5M|oHGn6meiw)t320r?V@cBrL9;zmQ1J3rWp79iNZ|YH;b;i)uLJCtZ`(u zFk?9TtZ`)Cb)9l0YnW?w(w{Y4)udlFiyVokOal#{)QA-~RJ1I!z;eYbZiL%;1KVj& z6sC(azKg@$#N@8zcZ%;rr)`nk?!UA2Z(^_PP2+X3$@aLLi?~%cLSLm&nu=y^Yxr^^ Zg*PIdv}5s9JUJAPCu0%EbF#kZ`~>{mtLp#& diff --git a/isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.lin64.o b/isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.lin64.o deleted file mode 100644 index 001d23d224b8b6fc9390fa8d26658903bc50ac46..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5680 zcmbuDe{3699l)RMG%;JTy=;TPfO+hMJVBlF?>h#Q&xxC}bkJ&TprS2T$G*f?9lNqG zBTPj@a;b7$-BsEqO|U=u2R|xpLKA<4X{#t&$2ues8PcZl2WT6JwG0sZ2L?T=q|7S^=MR9zRK2NcQBL6;0dAe0dQl7UL-*_{jsg- zr-WaD@|7}~09F~C5?+R(YRwAaD;SczU13Id!pKRZGPo#Qy%l}VNvd6h>(=P{-+c^)7?H;iHZ5~!PJ*d_Z;WgE239oBb zUAU@2@9&yr3h%=9t6YBIkUcR^9>e{#9|?~UqPb6pYF$&UKUM7eob}QMbs<);oZwl%L>KUZv1*xkP#UYUV<6Wg+dmD{Ye!M5E#BE(g@B_uSvE{GcRBn?~? z;dxLmKMT2$OJSDb+fQLp8(7q9SQJ#&!pcmn?4(oKA+9nA;Z~;E&p9OxSb>;4El}H5 zV8!|wt?><*(5!#^6sJOQSHb_*W6c+Lh$}9E#X((ltg9syu{c$;PYU~?!b3w9{*W|# z&E@G6T^(C}!Du9nRU?V)Yn|@#>8hSCWU^9POv!m&Oi8JHE|toQ$$GP1HF34bbfdFu zOgDSR^is3hZa1eZ<#M@@%PRG{(FMoJ2O4#PzH}d7j+ggwo12;WY&U*95ebMANq`1u zf<-A3R&FL!VE#?GP)c}HBC&ojScEXn+!M$^ymJDuXPg;AUcvTgWdGUVAarKz^sfGR z@CP?J-rc-kr+RNGA$V@JCD&=90ayFPqf`Xw9zMHdwD~w5mzd zST#u!wz*1@3%wpmb~`vg^;A;YK1{(7Z5##YC0m_ZwdIA~4&$}X^0Lte_w9~pBw_FN z^vr7QI5jXD`eL`bY|u*HUlRv&+&1oW<~S@mcS3$0q8-}6Pj#E%=gP}ap>d0=c7fW* zV2E+>?SX=Ojq)kDkbA{4`v=u=w6pX8EHHN)fJ6_A4|| z{9^LL>{xpcCb93$|2(x%@W#-Od&c`<>|GO7iQ#7oWYuj5u0MWgS^jMOo4`iJe~CI} z`qa&)Jsa1dGb;WXjnCp>d^pT(+B1F<7{(Xj;$?$6P4W=>sEfkdZ*hHRg5Iu=N@|4e zcPI<;#@OHGf-nn2=e_Ik81PY{&eQtOQ9G>vgK#l>RzLnsynBx(Vfuso9u7G1q~ol^ zQ0|~F-s~D3qs}o7rsR!}don8ixrl538;^5ud{=Auj(TI{og2e57;m(f(8x?sf7A*i zr<>#n5*m7&5rXfjfb$D*l@rwFZfJur!^X}x4A?AO0q2Qz71_F}RR2+0Ldl&b_&Y*B zuX^~mDW9V2_zKm3lJdV&{wC$vgt$C83>-Mt4y zHbm&d#JPGE`jb#E6SjWu0>Ee8D-lo~#qaXr1s|^Y@MRzVkPrW{58v?NW3W%7@|S%0 zaUXu(hhOyJ#OGL;tdSE&GMCOt|MT>ypU|_Uy(I3Wl-Wrky^}&}Ck2rtwHfEOgKD$g zG>JZ^?JFsozHjf|1LcGIL8YXXb+X!P>Rn^0*)xrpddbjxi*e*7^JySQk)pk!`tU~uhr)v^Co9p}abWVmtvY3};(liVM)^LmH zy_E$$Q^=-7Ig?JOGGZYw7xHO6Cj!i5a%m?D9O_$otzp!T>kwke&^wEIvt2h<{TyZ` zsgRelay~C+^C>Z%&2t`ok^q}rHVjz7)uPjdV%j^kN_`MI4Qx^S9*4)3Jg`<&cM zxi`-WryuA18yx5J9B`b^^A{YyoAU!*M{pZ3S9V@u=OM<~`G$QX8E5AqcHU*2ouA^4 zclSJx{@FQ#V&{Z`r4&uc@JlKUi5HQ*B?Ect;2r-cvbzE diff --git a/isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.c b/isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.c deleted file mode 100644 index fb085d2..0000000 --- a/isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.c +++ /dev/null @@ -1,39 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -#include "xsi.h" - -struct XSI_INFO xsi_info; - -char *IEEE_P_2592010699; -char *STD_STANDARD; - - -int main(int argc, char **argv) -{ - xsi_init_design(argc, argv); - xsi_register_info(&xsi_info); - - xsi_register_min_prec_unit(-12); - ieee_p_2592010699_init(); - work_a_2734820196_0181651160_init(); - - - xsi_register_tops("work_a_2734820196_0181651160"); - - IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); - xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); - STD_STANDARD = xsi_get_engine_memory("std_standard"); - - return xsi_run_simulation(argc, argv); - -} diff --git a/isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.lin64.o b/isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.lin64.o deleted file mode 100644 index 74ab686d83458c525ed96bc90334352c9e8cda7b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2664 zcmbW3&u<$=6vvxJ^emmF-R2FWAEz` z8+*+!$I8e2;}@ZB4)V>x56PHWWv2I+!JkS0(f7q$AACn2`@tPyi^}5s!cwJdEmuX^ zTC%EFEvs54M@lJrqH=YayUNw&WeTH?2qW9;*g=PAV|Dc%oLB|ApbjuL*wTAbtQy?{BgTz@8a@!Xl>ecq$jnO%x|h>lU$OEaJ6>!sPF z!dl75e^#1hP%jn94DY&;y~lMFB=x@X0(oGYk?cogcI?O|b1w|Z416>ow@i!7w(q*q zV~OWS(&Twx;kC5yuny63U)&CCSEe(0^2*>)-1?`qA!=zmUSp>3RK|yUk&Dj2e=6ci zbT56bo?#zuifI;|Y;SM@FQAdJA2WW|)pNbYofz{;<1LOw{tB0@sr=Wnko|Nyqq>%| zvZgDhLQ~^<{Pi?mlTlR(wGJJBn8xQd-BK#7Xf$R>doR zo|(S)i0r5N_tD6hK7X|JxkGN8PJ()A=Q(bo==q;!HYxx8GfDh4Euq#-L=&#iouUWB z30<6T@+gIgGr{~O?J#({NG(Z$(#fCTYW3reiwH?oFli6A=Ac-zl7pA?_tnmu8MC0vO$mk zBgJ0sV1O&^u delta 168 zcmeyP`$w0374L!HJKa(@vYT?5JP7M!Vq#!m5MTsiy)GtZAX@^+Hn8bpVga(1fb0OT zE+$qWTL;K?ketXg`68pjRNlPfB$>$ zwbwakKAL#`?~{KO_FcMi<;vT}m<>(FT)M@W$+y;ZjjXSG?l5NXPGj~@sO#jrjQQyv zV=|MBIWPS|Du_|qh$E z$t|htuluJm4@gO%>>u$lFDNlrG4i?s!CDF>h(`u#Iu2!|{A7OtZy|u^2emo8vjBz#|sJy$;87L6`*=!<~YG zhy6RA-xPS%V$_1e@>~{Xp~cW=j)yZko*r#(qQ;UFiXCSim)of#sHI&^$s@C60%BqSV+4cY`=P zkAZj&YyJr-Yw?^R{ygHGhZuXK4;rmPW|0WL2z_0n=ikA5l}^`9}A zj5N~xW70Lpjd@&S*5dx0upfM9pSB6#W)yo#9IslQCo~?3XRqb)ZMl4A@RT^-usp0G z_qzMx9D2Q_=kYB(Z))Oc%d=w?JX0)>Z{c}I9Pe44XGXzuujTPAJcHu+(DLjY17RNcuv+FuM)MdJzKVulHkeMq`yDazQz6bOS&!y;7A&)c*+aU{@@y`i9#1*ozuxq<;t$$bxmf0nW!>);J@*B2cR=So)z!${Bs^SOqhRjnn3{ zvS8^Mu$jIk4_K&l$nOiPCrQZWM@jBv^i@z{?qj z!)_I5lX75ZOA*&+j+g#`_gR6q$OoH|!b?5iB?g{n0PJ1`w%Yg{S+M+u@v+p8+>63) zlZ9ih6k!i=IbNRY{qxs1omXJHeD*X?ih!jr9hS3#{aS&i99`0R6@6h7XS z&DN*S7FeJATxk7~_DSAh&6rG z9UIMpUPN3^ z)4vFLu;j7ivE;G5H?W)o%NelL63e>*OCMtqw}xArXQo^Ft^y8o(QatXI-V}c_owTh z`N{A9c#-jmZwHUP2I!T2wa&bz6+Za<>@O38gHc}?6yq@HDRz}g#b9%1XJ^N% zueD~cSybbr*96!_HAOXSkD#CpQQ+F7Ek$8nR~-7|AwTKr?hUU^ry*0HD)QVAq#-th zIXWE31}%zx{tGn}G`S=y*Z(EmMt|Mm!LI(IDq9O{Wi!CPT2xKU0RKv1Scs2wl})}k z${(u#&y~YUF)Em(*cX}uu_?!<6q{;n`eGA@dDB-cnSZ>|=xnd3<32$7KT2C@y26~d3=`JSus9H))v6O^8Va2RW3zjQaqI?*~ s)OlsBf~A3UlwHmeE3+$7)0VB9w$xDdU8;I6AN7^1Nk}k0Vh)*q0H&nuzyJUM diff --git a/isim/tb_isim_beh.exe.sim/isimcrash.log b/isim/tb_isim_beh.exe.sim/isimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/isim/tb_isim_beh.exe.sim/isimkernel.log b/isim/tb_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index 7b3c541..0000000 --- a/isim/tb_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - tb_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 49451 - -Tue Aug 27 09:36:05 2019 - - - Elaboration Time: 0.12 sec - - Current Memory Usage: 198.607 Meg - - Total Signals : 13 - Total Nets : 50 - Total Signal Drivers : 6 - Total Blocks : 3 - Total Primitive Blocks : 2 - Total Processes : 7 - Total Traceable Variables : 10 - Total Scalar Nets and Variables : 412 - - Total Simulation Time: 0.13 sec - - Current Memory Usage: 276.206 Meg - -Tue Aug 27 09:36:11 2019 - diff --git a/isim/tb_isim_beh.exe.sim/netId.dat b/isim/tb_isim_beh.exe.sim/netId.dat deleted file mode 100644 index ed50a881873c347c9a27f9773ecabe821b8fbad3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 92 zcmZQ)U|=uG#0FV|3VoNAr0!YgPu@w+20I@X?D*~|%5YJ*{ GU;qGMcLMJK diff --git a/isim/tb_isim_beh.exe.sim/tb_isim_beh.exe b/isim/tb_isim_beh.exe.sim/tb_isim_beh.exe deleted file mode 100644 index 55f655523c1d21d03ebee1d883408ee21c44ef86..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 50173 zcmeHw4}4U`wg1f~Kvc*E1VKdEYHXoO*xl@3f!AHw$i}9KfR!q`3CRMhA&JR`Mk^Ie zLb+ZRgGy`r6H8xxedtr6E%2y{22lRkYU7_isaA~^?S`PHmMZ?;-}lU)&E3szSSSeg z!&UaooH=vm%*>f{X70@7-dbFGsUa&%k&i+74~1g+c^-!1zl!4e8H);>OUYF{%4lVj z(jS<9_~&6M1bIlpHo;oLTtSx&m_uQMKo~qcAmAt-Lkawm5)!2HdLEAma(J+nMr@Dk<R_YSj+Ypf*6%O6#u9%DShDw+xy?R;!y54PCNgh z3eT{I+pZn9ozl+1KiU3jTV>Xeoati;HyZygz`wQo?pXZjU+=tSa`B%I41f6bz1QFR zvs?DwID1s(>b=kWF7o%h{V%?sm-Y4aPl7b<<3S3^l>A%+L@Io38vN2U_@Af2Z%TvT z4ZI2elAo7>NF~pqH25>naH;4oPJ=H7-jrM@;@?X{A5Me6Jq`ZeH2589@PAH&zZHs< zs$TD>q0dP}Z%l)~C=I?e4ZblA{<<{y2N-^kvcl+Lt^I{)^ZS9%SNkSY543Hd`yB5jaz!Qvi3YfDI4fvartuz0z;HM zWy@_IiDK|I!6!dS9%Xz@ZFv0b5UfPwc#EyjIlg3Oalki|!1zM4BKTKLmLxQHL49ap zuzG%|ItV+W1m=aRLrPHW;W6h69zsdF2gN;lQHus)is-st?VtF0TqKD6bC$ z7X_=s3|U)OQxUAM4}8Bo#1V@YR8|El76dD92-KBV&kqJ_<^@94mBB_vJ|CQUv4Mrb zg*A0IF>GB!bpY}-RF#KAHPuQWFn?hUFyZpLa3H`bg7ZW5;b2{$zF{tkoL^VIFc54E zRy2fz^@#+Pp~`YDNuA6S3I~b}UZ@U)tl+>r%2QiY7go?n zadtNc1JyNw2pl^9c)dd+x>drJpCUUF`*4I=uP}2wI zHB?uyKA_C;8icCn)vzoiBQ%35tU!q>$OkzT^khZtP3Sg4iEdk19zsV3(HG@Nm;H^bx^uia!+2O7R~N5WX4&a@;nI z44p=kqkiN-@wDGr#vu84@}2V8tHTeFNWcyqzCeeM>hNFF;k$MC^K|(9yUJLMc*B&$ zpAkB|zK$jxUWm@}jM3rcoP`q1I=p^f=hETz^BRv1FKs39@$2wtxcD<&hd(`zRg~E} z{24lYnGQclhhLz>=jrgZI=oSbZ`9%Qb@(MZ{9qk^xel-Qi>*5RnL7G=baF^tL_~API79DW;-pfYtt>u+;-pHQOIZ9eiVvW8EsIa4IH^=;8H-;+aZ;tu=`3!gIH^#l zhsD21aZ;U5GmD>3@qrXKvG_=elL~d_v-l8-lj?LTEPgu0No6{_zX0Mw1I6;9@(%H`9A5ffBqqB|0_fwoyqH_a_zeRCUh0e7s{tCrO1v*<<`~`|r*LN;q@neG#`mx4tWo@wo>2vwSKFb zO^UyzEo_Ljv#xE`KUezIw`UUJl1Z(%n-rzt+mGe1DpQc{c0~P4yK*VE?bB?3Q&*PX zy|=z#o^iBKfs(Xk`PF_w5XFW*&4{#qS5X%C-nzGT_M3uW78Q5Ro*nb%%_}sHZf5fP zn8JHj=p4!)ne-rq8$Tyr!+r{0e%0VtcSV0in8>92 zDD`TddV@@TU41|LUBXc%u7y~w-tT&^^v+_^x%?4d!4`kyj#g-tU-i%NM`lm-M@kEp z`_(gcX65Yi%%Y*;Z~3rcl3$%waDUUy1GB>J(#YK0Vr^7`c72X!@T%_?8`pRF)IS^7 z@AkT%UHmP-mTlZj5rrsrJ9EXuql8(%-i{%N;c~--PD%W$i7_dfun*@r`}n=kEOB=FSRWsm4*y z^4gr`)BE|gALU}`8aKb-F>d}aV#EJd^+T{R@5qeIul=MJV;wmR$vKu}#yAaGAB+!W z#yAa`p0Q_nxZnWVfT}M)|U~1X*(H2sKu5Pux>wutG*TN{a zkg?pcg-qlQ$uX+uD9FdACodbI6F`tZgW-4mU!J^I0{ezIp>Yiq+4caDOX~Ts#`^{jI2;qDH->WB$mn zhcLWi1=}agDQFKr?aTVqm-TjW*4sWc>Kog^_`THi2yd~6j;9z;!vuYui|QxNs5RR!IpYGuJ8SojfsW>LNBAN=Y^ z(TRs*v58q>E31zmCdsc=737v`l?C~*KO>O;jp!E87&n{!Fobhp2q$J6?{4dQ(XUMz zQ>^Zr40~dtBrhcy!q0VWJY1Q(KRSGwzMe9ppAqAfWj;$u*;FR^5veFM{Ywvw!D#cV zo5%(G)zuvUCF--<_XheSE#&t7YD+h8yVzV=ot*elxls^(K zF#4O`>HZD^6H8PFiY7+URUd+z#!4;0VDov=m9zX!Td6XNv4sXysrv9lDpOlca%e-; z)t>?SBWs2dR$a4!u-R(MON8ZYwUNg4EkvcZv{NbzV-QbZ(H}1Uj#h|5NqlVt`u<)B zQKCMjzEY~bN1k#H`p&PdApx~BLC8wL>|zZQAR7T{a`jC0+d1l#+_BFa*KflNL7g(N zRPFFbf_tyw{>&TLvje%QDri_6xUt!p#^g7ye?eV65ICQxSoZ3`j2J- zqL+Ny`Cvw!=hMajM@d>;j{0Yxy5Fb%E&Ba`LZrR0EMD~~*rK;VLM20WbIyk?T7q#& zMqmv!ld<^|{ARDAf_>RrnHcJ!i&`k)W^J@s?R*)D=t$n87a0(s>KoS^O0=_f<`@iJ zzwIM6yKD7HtF3E~T3i4659*E+tgY`s2)(vGf?48MPFweU(5JS(b<2s?RzI_?TWO%f z#I9ptHI2Sx6H9|4*SijuK5F? z5K}u(YoVxd{dTqGaRk(Mnk=#4Vs2+wQysLCu(LLZpx4}kOeEGG+F+7g&zARW+L1uGcjS52ZG@y zDgnW~Q9q< z^*X5RPN*#FB#uMVP`%;vp|UO1-Rg)Ql|3qROZ=kY_oxF_Q@8}{%J}49FA&L-gEdTN z&QV#@`6HXS%5J&`q*{NK>8N1ECI{5OTw$e}U~)kED<%hQ;A!VUX>WxPdZqmqx>{6N z%Ri<{YevUPX);$@KK;`+bERb}1@XAjnown~wBH1dDQ#`fjG_2lw81OBv2S_RmvqXB z#dE>eed?Q!Qg=T=FQM3GXY?#0r}+T&2h9hV25=q699gI{Q*E6-KLX;^`pnzgxYAAf z{3DPh>+`?C^!7JhOj&3qnP7BDS(7#RxJ_@jLI}MEFFrs*eZ{7?_v|O_OFO-NK767l z3@44Y9+kaii?N)}lR#UI5JIo5e`G>^#k6(*-}=>FqghbJu@rdV4IVx2yk3`f-A%w`(DUUTMqr z#mCV}HNE9ZdnD7_d2c~!GoRi%iTu>`_K4ozzSzN(<-|^JUxyHS4ZiP966z~9Vfft} zeNGt8zy3r|82$~Ww->&C5@@R#Lg=-%n+f$5)7CRy>r-2s=bvb8{kNOmhF*=kf5k_zHLZr>3{Za(cUYFX_h#p5AVQ5PGFu`sesKI;p0& zTxpMFdi$f7p|qJ#Z)X$v$v3@?Od%cV#_LcVQfu0tgS{AfVZDn^j^H>+gi^#78Li}Z zW#LUKa%^HbuEV*7)qID7ohFF0*rI1avs1x>?bS&Z9M5*yHh>Q(z! z|3?x;SZ>-)V_a!BjcTpYZdx00%k0zwd$%ul)25I>;tT~gCeoc+;Qh$XDMYBVeA-xc zIssb`)Tf%6jw!Ui1Q|#VNlw~Dh>&>3^;xu2_PTHEJ6`pm*o>g2OWsa61YEjv3)EP~ zNCWtGK9AthZ6_Q?A(^T|QLzEWc7t~rP+VMv=^)Kv6_Onv5NC0y?z^ZZhy_7$vW6+- zzjm_5`64k*dsbm%9}3A;2YYE;AsG*Cgl?mkTAHclgcb^lJu|k8$~Jnxjk5Ksc?LRN zza(R-c}xz}(B@GF2Wm8S63VC1h8S1PZ}wJA`wOU5f<;{|RFIvZd18*3)w2EW=F?De zUz)i1_Re>xne@8Ows?;B37W%b@Rw~;kA6F%p}kKHJ`N`JE|jR(+nLXk1Sh*mUHr!+ zH9KWe`!cCVuaNtm?NcF-t4TeCvh}LD<+-ndNqy@NeVWuCTy>&Ns*4|?C5Hr$PVN}z zyL`)Ab|KX3B<)dJ)}BMQa*K@AQ^k#uZJ6&y$k*?pvlf`|>gNXJds58})&Y-Gw_3l4 zuP`Bti>9qOI(>BKZwLQAZsAJQ(w-wW)Dk2QLBG+*POpkXtT>%YCsj(-1p|$n*HA+( zpFI?$B(J8ZY@P@=OVy$yWaKTivyJQ9Rd!^RPKRw@d_6mX8=amRGvd3!TI{cYrRc2H9ATX@(9l^8DUzqbUuBJdY}&uB@uPR26dFGUV(2wK z%EUUUH2%U}eQNx)8D9~N|F?S?Jm+arVRq&+;avl*Y`&zUydj97SKqNa*{C{12K{6@ z%1gJ_j_hUd_@{(frn;Ou%6p8C@;SK8S< zSJSqDBKfAp@;DCKMFhBMQK~(>7on1-rw4kqV%&~qb0{!uBVvB>!YeOcw8n9GQ%VHt zr2|VNTe}hR?ixVTa<3Z5DTz#_t8+A`j7wqiaB^wzUyBK0&AYu?hN35K%vL+-<~SxE5je zC^T^i4^225In=Y%X*uepJvZafq^WMkQ8m%31*ljjJFl;;rrM!hZG<|PDjaXxpTlw`yA{PIUizS#(n{g$ zxR1_nV8Va5F%Bja+{!{YqaPuGv>SWQ=>LLvVWe)NKDh`l@!7hHFA|cI~4&TwnLrSVDdwstGlIjP0 z>EpzS->^AT4taJbj%_PZr)RVjNA0Py@BnPc=dtn4R0Ne{L!NEs#fSV0ri3Bi05I(*2pN+u2YS}HR>C=h ztNsc5V(+01TR{TO7Z>`}&g4rvHxfB{c2Z$>NoO4b?2^v#sgZ(x#aZ~v8FW{NREk*( zgsJv%13_wIY~GKdp3T*%h1xjLKoG{=(nyr-^;PF%=`F)rP@%_3NnjbMF?)PI$tJBs zYM)P{B@b1qKgXe-M9`PdZztj;pHE5{zideaefj)0B4$_Uh0mW&8OZ0enjNjrzvq9G zd_J`dMtn-2Pwj-XOnp9yOg^9Pvq_)70%7U%Sr^lLIGxX5f^_clsea7ow?IU_87SV8 z=<``Ico)a|HdCKZ)x>Dm`+TZhlFt`SyDDw~ys%QyW(XvFK2?qR{JkVCt0^}Cl#uN6 zYpH+)0|1{-6^8y$Nu}DO+`Y5?cAxe&G01%E&je6z{aDZ2j1m&YIvWG*MIdwU9gH(G zCVZ+u`j&dCuzeGF(K|qrhAlSR5J-7%lv+u+cPc=*cXrc``qtR&#BcT**5}M&Bo0|> zrwJ{r=U4WF4TVpqGCOnell+RX5#HFZvY94E?gCJtd#HTw)7K&-M{R1KPL)XZ>C59d zv@Q|!<b9eL6K( zFP~2Bb_711q$i)gk)ZJD%?QIubP$SsI;E+bmQb{X!o7StRh9YlEs#_{m>V||r(~bb z%A}(r3y5)sM@6WzNj{ybn&i_(TTf3M(!YjS!l!fj;nQCtnOSxCkY<%k^68CKKzvBE z0WKB~+MV2evweP{YRNNp%B`QVPxuXLn{fD~g(^kv+mzxa>_CtU*Tbd*%p?0W{81DA z#DKZ)H-8PzFcv!ZO>L9TegB5Y6WllTNMG)o830lXWAo|w&F1RD{uoN40iafOw~(qB z*_x*F)$~5Q9aQMi*$kXor_&#-p>_Jc^+`UPx-y;5zK5q}>a#b1{1~j$pNEM0VZLfZ zqR(cVZ-+o%<~p7JR2r(c1@a}2da`NyQD6KB zn&23%(?5Qg58PAh^j>e@zH00AFaC$DdvBjE2J}g^PTvPf^@IKXhe+~dpH80a_^#8R z8!gxAY?}TW#QIX!>1?bY(K>y`gBYd>bN4>i=@)=J!*%+h2RLV)qi;pSr*rgsh&;j3 zQ#bYH=%JFkiA4Un7&etZwN8(}n{Pwo9EWv!_kSn(eDa{_d_MId(lYh=B=Rv>r@saf z^=4qrx-6}Jn)K;p zk&@rNli7>EdnbaEV4eQW`&0XLF<=vYI!$*^*g8EQlIjO@JCi)wr_=P7`SbQ`x&LFZP9F;q^~0RL6Y%Bkrw@z|aJHX51_FGU>vZ~)>KU%n z*W8D}c8u2PR}e1@+*9lHulzdwyZ5H{=_lVheIg{)5BATPdVoe zTBoDM`Zz;JtI^}IPWRuFdnAE5!d_t(DDcc=F0C*L}K0VLHA<}s^D@?@V*tHR^EPWLAc>2ip5 ztk>z>eILm>z3Wa4!_3#|kApnJb^0peocTI^E|Dj=Z|af0-1on>P8Z)Hi`bXq?`Gp; zTWHNr{sD^pUL{@;ff<3tN6aPJg@nntkM1CgsUd^bU172utyq8du5E zr|HXYC0envQ^DWMuzxrVH0KgcB{3r!|I(*BK;vgLc++ctfoPx^O4P&nR1%-3ehkju zT{#8#`=6loAz#0r0p-VsSIoPBBWx*g+ct*%iO|vlllKXn6+$75csIlP3dVF@fikM8 zjPsEx`j=HiLN!>rt86H7nTpDITqW9-igELh<@wQ@83lOnTAF_*|9B%>!LR$05*VIK z46!Ozq8iAl!uUYga$f=dP=v3*RI0hW_;e2zg6aoPkgQKqHKI#eW3i^L0Uy1pzKZkC zw$b5r+%EsWCECYo8BMb{pgKimDM&M zN3DjsjGM!heM0oWT~tF>8{_6~!n^{EadSISK)wml3&iNxCm&eScu zCg6oO6{6tdXemV5a7VHzxtR4%borLwg0B_nYLYtpjlHv@CN^}(gs2rF3X#ESLUcH* z0;|pNo+MV^&zNRWZM@o0d@iZ9z^rBAykK(Wn{ojUlnyO;)PL;PxfbUp}nH7P5zWfxZ+NUB)?d zfI=!1I55rR94=%Wx`%Lm;-7))pwB=>=`&FH7E~4c78C{-iT30WFa|FrI*u{ca!K{I zyK?F7v^K65UFKKcDbW@y(P~`vEsfyoP^A%V1gJ{2rgfn5t8e<%?WKZf_#sqKecG#q z3kEiQk=yVesO{PWS+7Kn{OB7ish%A|O20OTbg`mB1L^^Fx+W?YC4HKbILbBbiaNAEQNO&FRC`v(67|he^#fTe??;KXnu1!DrKr_utXA#1T0yO=3dT^aP&L@3QZ!7js@)br z)gEHsYK++5#%&I=iw0ES;}xVXllG4=Dba->Vm6YRpqrXNeA4Sve`Ng#e8rLm6#vL$ z2Rin>e6+$GYK2*pG4j}Ke5UY;wac=U=uF17AAKs!uNEs^SCJkN`E^8oA(3lM)5%n8 zki@pcpM{UwvHZ~yNm)lBYcpk?OIi2x)E|;<1Y_z|Sb?he_ry|skBo#j(FYWJgfG=D zw16er{>dwd>)nj&WB1_0oKoXq@}p~jCYl*UQ%f{GLaRId+ur+@KWnG|`7fxe&H%}^ z8Z|>tt>>YfJvf#_JZ2J)i&K@89kq*cW)V&9;mi5w?W`O}yb*eRSWW-&O@#kJU&qvc z9}``>m$bXX9~t+LJV+S1@Bjheq3~fE!?&RGp$7Px=K)vg*tfmv=lD*af7|ETCF;x3 zi%5c&cf+GBuhMs0aYm~YAMsP)_r`|)#ox38-|+0J+uzeUq?{v2IpIvgq^q|Sn4xGc z`raiybPkq%juU@eVFBduHyw(F&wlJsYZ*QZ>qDnBb>-r_q8h#rdMMWLchXUO@ss=f z=+CLu$+MF=f=cV2@7L7b5GW56Ih+=!#bPaT1gu3)tHW(E<9pA&z7eg^7rgNi>FUbz zx=O|+gl~qAr%!R$EUXPx1uF~jY3xG6QQqEJt`QlLKXCos&Ib6qBY?Gl{|&et@NvMkfd2F52Ylrnln=OZKgtJu?md(bxcmc@ z57_)6%7+zu2+#%igOAbPfLj6A0mcA#13vo+=mFP#iuQ$BZ3i?1F8>U21FprSq!w@k z;Br8EFc)D@*3C1Ntj7GTa|RB`ZN*vl0$|||mGJUdY&B7M^YWJ&ym=#v(M`_FUul?}HzJZfInT5r$D221SwC-{xv9S|Z-F6jdY%~=Z=MMh zpq!kS>xIC0NRAcw_cJvX`vxJzL-_mg?*ZVg5(!B7$MJ7R3i_9Te<20^0pJg$pwB^l zKTSbD3ix3dGc@&*54DL6_#wbwKu9!0li~8yWkpCX`t&d5(|>21@KwMMPvS@TX5fdW zpuZRRvr^D+1pdqv^nU<8SzhA54|tMSFAw$EXTVdrKSG%L?GEa+j8TH4V6)cN~(EI*#=}Y{krfkq^na0(|aFl9Sr|e&Fv0{$7%kwYTACc^Gf>Q2J9y z&xcJ56JjQ`&af=IDW{6{38n8tdS#mQi;`2z>7#2)ZiQWc!2W(fS^p&9B2pjfWJ^uwd{&yzwzZ>*U*t+&~^mixHKLz@iv9QZj zo`ayj61Fo_`hpxqc@Z!DGnIc9=)b!rbNQP=|KZOwmuDmB!#Hh_sr>su{{aqbWGeqq z_>u;k*UGg0eV`xqU@W#u-(PzD`Bh?ng+agQp;&BtI{FQX^!I~4cYWskUjlsyJ}p!J zIq->}{x%l-Ae}saNR-D0`mOMhnbKE+{#N+XOxyo%&>P@$Gj0E;K>wdl#A2^NujAv_ zFjY6eUXE9Q#_vJUm%@jSgkHqy_2bcKNEq+*a>%hW7GwK_%n!mo^ud1?=-c+hVxQ>c z>4SeW=x={EbNM%d-u8Uv^6vxvd4I}W{-Lm~>-J_YzYp|vf5}|_Fz82hWG?^xpzpsg zbNOEaee2&clb`%f4(4QYx?-_02rIt4{0caiQUpfsez!jF0MeryHO2Vw4)D!l+%9zyzfq(4XbXXj0i7*=F2%W3Lo zxIaGqVDq+@K=&umy-IY~=1pH|h-9zGS=O(qzu_TCN%j5=bj#mQtT)Ly6m!mdfM?$Z zpO$A@W@ySbJisNTbQ{vm-Lcqdm_PLRTf;wzg80rt`k24RV$^K%A^EF-Hvz8_@`@Gx zmh}(hd71{?VOW_R$uZ29O~JBY0nHv3!B28r;#-?MEI6W>LlTLeWLPbP8OW2BvVk5J z!mAVZY`M+D;6J~J)li_!;K47}F6F;47m=5-AFnAb5mDn?w#yTE8n^lw%JgVsD zj)W3q%Aq^U7=>&jj|fov(Ssq&p5X$TPWP~o#IHMz$I;~MX%rA$${txhUPiL|EEn`> zM;4dugKlK;OGLWJe!_uyRar_IR*HVRPrye6d_utI1bkh<_XPYxz`Q{o#`in{3kCcS z0jCLgoq*K>{!qY`0^TR!BLY4l;Bx}LF5r6tej#AWO7($UVdV1Op3mX01^lakrw``% zi2_y#xLUy7i+FloJ%G&TM^_1{s5tmTn zC6ssxC0_DhAo5oWc&BLh4FY;Zzg#DvThM(=z#Gr^FjjX6I9l}kBmqwsbol~K7kX1I z;1}b0{!v1|Ub=+GKM?R6LSKsod{yY#n*y@Jbw7nd&n5g|GUu0{gg;!mj%7m7B*vA5 z`-(Y#LlXXQ=?P4Akv*bb5a;7znrwx(LZ``Uwph(YmLk)b8No`EzdX$G<1Egxic(mA)5381 zT)=Q0hYMsVR2>f1)hdP6HQ`{PcXG+NaQS=@n_t~fIJY5GRXHwHsT5LdL3#ZGrLgj* zYVhMQT*p%u1?%cVHPs1`0MhD$RppdHglen8N@1uvgx_$m5x?^gL0U~^dAM9D3@!-F zt1Dj^3@oTbUKvvgE5bE(^(c^o>no5*8HhXbGD*r8hAL2c4S3?GkQKz4&aFpQyboU( ztPacOJZg9ja%SP5L2@v7ct{{<9;knaR{vap$N>D4`9~Q%h+$4G5GEGu`N`=CvXBM8 z`ur0O9)_PN@)xjJ&rfoGACmC8v__U+X7B(*^Ew9D{GLK`ekbQqJXKMqAwY9EnSX=9 zgBaeO3Phur$kBX|>Mrw-AR;{9#XlmE`Q`j^jF>>m`6AKMliYr^8%J|BnP1M&E)f&F z%UG@+`6Zo%Hz1wneljlSf6GOFlgOVezd$QDBS38`^UL|>I+4Fo5GJ>utbcP-emQ?N zi3zhuFsA%8Cr@s_mB3M($oaOMua}7lyetPK>`Cr_6zRiOe z?4!s}e~(80$o7-CbqMJ5dzw8g$wM83M~*`t>s|h4B=49sgvzNxMX5GFAC)zv1~Ok$}1M%Y!49>v1huu{@)>Jzh-!HTqpiTEL~Z)JKE3uog*m$YB{#B97R zlXfW)KTMJKCJ}#@BJD^bemLwxnI6T$*?0>j$Gtu=TRB^i<2eyOQi+dKT|%}(v%$oN z^wppYQYH$&C{szTbCkcwWL&~g2xKX}+vl4M-@ARtK4hh6pJL#t+_I!{uVM5(^2_mb zy};)R`yo1NqBB&&sLNqJlRL$ z)BfW`KEniliNMQpM+>|u2|rfg+g5WvlD0-v8G z|7?LbCE?2j-jjsCUf`!E;p+vyED3*$z}F_>TLgZsz{~os6nG`6zkULIs($~qpl=iO zV|eo@zXP81N3NUM-UQNKVe~zABUjMBF6iZYd$PdC1YWMkCEf(5NBre_o#s*Wcm-ar z=U?S$WiIfkFN%{Xv(98D%Y|jCCb^?!64a&hJ zd;4-4{C?oGQrSZ|=`5wUT|Eu>A!rBrev<8_qQpr8e^A(s)g%U<$pSCmCl2Fir9t53 zdsMb3h_nXzMP#!0{%7N^Pz{y{%${`=d$U4b1@+&{_;IE+k*zd z1mN?@uL(aQ^Gp@=@;$ed=N5qv5AiV4ABha>8D8e@flmv1`JP(V_fQ)8GX`*ZTuJie z18?eK8xM^Jp6VsvbIX38A@K4&zN~MHz{mFs(0}wi3jAPYq>?YnmE&}mpqKjxY;PU( zp9#Et4=>BLAS1~m-|x%uQpxbFsDz&u;8V5#3c*M2N4x^Pr)OIlKD0MX<;wT^VNR~( zV#1zE563e6AVuyo$o82d@DHEwVH{+=?nuLj-kVUlav$YUA?IrXFZVCl83}M4g7vkD zaZddCI`G6t?&nB8*9g4aw~_5Y=Lu8Q>wg5j+%J*s|GL1-eI%_zL|u+Nk8&9qfcxbioM40z1ItWO+)`N z!w*v8`#XYV;XvNbazE<=fuAeza{o-W^G^g`?#Ib?`#r;p%sTLiAVu!=GdcvA}de52qm_x+@tzZCeW*jE@MxIHcKa$i)+^D*#) z4MwH?Hcvvi{f%6HxsUfFk?Lmn1X32cIt{)`@R9qOQg7++C#M=O8-XW%HYeH7oq~_t z_mu7Ysldzq&XGd2aTo~H{!iWPVHnvCmjgdo=~>STv#ngm=tX87s1|(WKJX{PF8xyA z<$kT4|NKeddfxwhm zm(K{4mdu0H+iZ66fZgR}0gKtaa$YFU$}ldcn`d)bZ9D*kn751!x5ONDk<+u@TyqQ1F|Zq$WWD@GSU#3p+U5l7bvf*E59iatgcK% zaK#QxExmlQw={71rI*euo)wtoom^TBt@eFyn)f>;Q+nTN3Dh^t4LGgVq9VK5VRJZ( z+_(zkHd_LAbCJ^qtW_ahOOw%o>oP9fPr-j?tHow_TXp$*-JfA)Ssb<^x7+1*6%{$n zPP-f0%V&83Kk~xu|5sE9OSd}gZZ}4s+iG(ZIl#)LU)98uC1%72-epJzF&_0}#tj5$=UFHYNsh};Re_2H!HOFKb>-Fb zgMpfP7&(=}#x&KiTA+qSc4$0W5I4Vy^wkIiu%q3lR^lW8bRQLQnQ@5>J#TU7#Y^3E zR)@unn_VtshT(NM?Rrh7O3a742CL_Xs)K=r!G$$-H>DFk-uF(o&1tt=9J)RrMs*Ev zdZC34RpntiG9U~`k1vEbN-biM84d2Rqh)Nk6lcX))p1Up#fomVxLr^#3{up~X+CTg zj2xR24e!R_wm9t;9IDVa|IAswz|2|RX+G}^Um7`k#$Hj81r`aWMX$@LB}JFx%AW(q z$7vG3rmrs$c#~JvG>x5I?0@0B}~o7>U5wXtuS4X(e1Fp#_GBtK}N=at~6HF z%nwxraK+G;riC%ctqzydS>&+0Y)}fT-aVw2qepq1c9-2{cIY)h>US8!vpxj7*0+kI zZR{BMW|ZrI&4A~y>6JXS05GR+*cP`FHqMS&huNB7T?3ab3d|4iKC}6DG!PqyJrYOd`zCYuxP|vi$VzHx%i`@D=30)MoExkFhh8G>driN_I;PFf?EtxzeU@f#3+IgG7 z&r~$j)zK)dg11TAWEcewI2aPgV#ft(dvB>&ck~v8rkFZ=YjKf%vn13ibtcR}EoRJX ztd=5-Jxmz%3X(dDe%M>lPh<-Z+qLl)cVHGnQ`rRj(uV@d82s6g>yKHoN#c& z-;T;S^)1-v@}Q4VM6%m$4l|m^=_<0Bq0zefVs2DlgZX21WmUSKb;4s}-cp2|Zt^?{ z)A0mts|(iGR5j2nA~3I^x`It75(wCMO~=$au#ycUwlb>>l1WV`CoO#7LC{554co0|*b!Z+X_^gw!(p+RZB`3r jR9I~tHb;-Iwqk;B!F2hsS>jVLEPHHLtOeXo{h0ax+}%8y~rhzPkTaY95xK|@Omzs3K+%sq@_ zKsg`<1!Jv!w>PtUyS{5Sy4PW&HA#2Q(orUcX&6P@Q5>#23QPESzIt3v=D`Ia7kQp< zCGxb$1h*3TKM_?R;ualOzA*?sc&hN^C7^b>8*UM;uzuIT%!8Od*vl1@0cZwReOU(k z+oBbSX8W@GS(xt@u(pUGh~aR5#4cQNw9EN&<@xO^- zF_FV#4nqM5rwWkqWj%ZqmgaL9Z4*D5B~442;3D$k-z)J^A*`^ZNrh_59Nx5v&eRu~ zs)=bGhNAK2-GRY>+n#_Sl4%r^A`4`CUd0Dcco^R)w}w+8hd1qUmNV9I^6jy&PvG!8 zwtJ#|l?>8hS9Iv#*uo27&}VSyyX`Qe9GHRr z4)k|k&XVlI)K(wGaYQo#>dliza(;Mbt3NtUF5)l@jlQ)fBSyCReP^#8A*s -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/ise/gianni/IEEE754Adder/pr.vhd"; -extern char *IEEE_P_2592010699; - -char *ieee_p_2592010699_sub_16439989832805790689_503743352(char *, char *, char *, char *, char *, char *); -char *ieee_p_2592010699_sub_207919886985903570_503743352(char *, char *, char *, char *); -unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); -unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); - - -static void work_a_3230118638_0181651160_p_0(char *t0) -{ - char t1[16]; - char t4[16]; - char *t2; - char *t3; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(18, ng0); - -LAB3: t2 = (t0 + 1032U); - t3 = *((char **)t2); - t2 = (t0 + 7176U); - t5 = (t0 + 1192U); - t6 = *((char **)t5); - t5 = (t0 + 7192U); - t7 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t4, t6, t5); - t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t3, t2, t7, t4); - t9 = (t1 + 12U); - t10 = *((unsigned int *)t9); - t11 = (1U * t10); - t12 = (8U != t11); - if (t12 == 1) - goto LAB5; - -LAB6: t13 = (t0 + 4960); - t14 = (t13 + 56U); - t15 = *((char **)t14); - t16 = (t15 + 56U); - t17 = *((char **)t16); - memcpy(t17, t8, 8U); - xsi_driver_first_trans_fast(t13); - -LAB2: t18 = (t0 + 4816); - *((int *)t18) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(8U, t11, 0); - goto LAB6; - -} - -static void work_a_3230118638_0181651160_p_1(char *t0) -{ - char t1[16]; - char t2[16]; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - unsigned char t12; - char *t13; - char *t14; - char *t15; - char *t16; - char *t17; - char *t18; - -LAB0: xsi_set_current_line(19, ng0); - -LAB3: t3 = (t0 + 1032U); - t4 = *((char **)t3); - t3 = (t0 + 7176U); - t5 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t2, t4, t3); - t6 = (t0 + 1192U); - t7 = *((char **)t6); - t6 = (t0 + 7192U); - t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t5, t2, t7, t6); - t9 = (t1 + 12U); - t10 = *((unsigned int *)t9); - t11 = (1U * t10); - t12 = (8U != t11); - if (t12 == 1) - goto LAB5; - -LAB6: t13 = (t0 + 5024); - t14 = (t13 + 56U); - t15 = *((char **)t14); - t16 = (t15 + 56U); - t17 = *((char **)t16); - memcpy(t17, t8, 8U); - xsi_driver_first_trans_fast(t13); - -LAB2: t18 = (t0 + 4832); - *((int *)t18) = 1; - -LAB1: return; -LAB4: goto LAB2; - -LAB5: xsi_size_not_matching(8U, t11, 0); - goto LAB6; - -} - -static void work_a_3230118638_0181651160_p_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - -LAB0: xsi_set_current_line(21, ng0); - -LAB3: t1 = (t0 + 1832U); - t2 = *((char **)t1); - t1 = (t0 + 5088); - t3 = (t1 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - memcpy(t6, t2, 8U); - xsi_driver_first_trans_fast_port(t1); - -LAB2: t7 = (t0 + 4848); - *((int *)t7) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_3230118638_0181651160_p_3(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - -LAB0: xsi_set_current_line(22, ng0); - -LAB3: t1 = (t0 + 1992U); - t2 = *((char **)t1); - t1 = (t0 + 5152); - t3 = (t1 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - memcpy(t6, t2, 8U); - xsi_driver_first_trans_fast_port(t1); - -LAB2: t7 = (t0 + 4864); - *((int *)t7) = 1; - -LAB1: return; -LAB4: goto LAB2; - -} - -static void work_a_3230118638_0181651160_p_4(char *t0) -{ - char *t1; - char *t2; - int t3; - int t4; - int t5; - char *t6; - char *t7; - unsigned char t8; - char *t9; - int t10; - int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned char t16; - unsigned char t17; - char *t18; - char *t19; - int t20; - int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - char *t25; - unsigned char t26; - unsigned char t27; - char *t28; - char *t29; - unsigned char t30; - unsigned char t31; - unsigned char t32; - char *t33; - -LAB0: xsi_set_current_line(28, ng0); - t1 = (t0 + 2408U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)2; - xsi_set_current_line(29, ng0); - t1 = (t0 + 2528U); - t2 = *((char **)t1); - t1 = (t2 + 0); - *((unsigned char *)t1) = (unsigned char)3; - xsi_set_current_line(30, ng0); - t3 = (8 - 1); - t1 = (t0 + 7318); - *((int *)t1) = t3; - t2 = (t0 + 7322); - *((int *)t2) = 0; - t4 = t3; - t5 = 0; - -LAB2: if (t4 >= t5) - goto LAB3; - -LAB5: xsi_set_current_line(34, ng0); - t1 = (t0 + 2408U); - t2 = *((char **)t1); - t8 = *((unsigned char *)t2); - t1 = (t0 + 5216); - t6 = (t1 + 56U); - t7 = *((char **)t6); - t9 = (t7 + 56U); - t15 = *((char **)t9); - *((unsigned char *)t15) = t8; - xsi_driver_first_trans_fast_port(t1); - t1 = (t0 + 4880); - *((int *)t1) = 1; - -LAB1: return; -LAB3: xsi_set_current_line(31, ng0); - t6 = (t0 + 2408U); - t7 = *((char **)t6); - t8 = *((unsigned char *)t7); - t6 = (t0 + 1832U); - t9 = *((char **)t6); - t6 = (t0 + 7318); - t10 = *((int *)t6); - t11 = (t10 - 7); - t12 = (t11 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t6)); - t13 = (1U * t12); - t14 = (0 + t13); - t15 = (t9 + t14); - t16 = *((unsigned char *)t15); - t17 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t16); - t18 = (t0 + 1992U); - t19 = *((char **)t18); - t18 = (t0 + 7318); - t20 = *((int *)t18); - t21 = (t20 - 7); - t22 = (t21 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t18)); - t23 = (1U * t22); - t24 = (0 + t23); - t25 = (t19 + t24); - t26 = *((unsigned char *)t25); - t27 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t17, t26); - t28 = (t0 + 2528U); - t29 = *((char **)t28); - t30 = *((unsigned char *)t29); - t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t27, t30); - t32 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t8, t31); - t28 = (t0 + 2408U); - t33 = *((char **)t28); - t28 = (t33 + 0); - *((unsigned char *)t28) = t32; - xsi_set_current_line(32, ng0); - t1 = (t0 + 2528U); - t2 = *((char **)t1); - t8 = *((unsigned char *)t2); - t1 = (t0 + 1832U); - t6 = *((char **)t1); - t1 = (t0 + 7318); - t3 = *((int *)t1); - t10 = (t3 - 7); - t12 = (t10 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t1)); - t13 = (1U * t12); - t14 = (0 + t13); - t7 = (t6 + t14); - t16 = *((unsigned char *)t7); - t9 = (t0 + 1992U); - t15 = *((char **)t9); - t9 = (t0 + 7318); - t11 = *((int *)t9); - t20 = (t11 - 7); - t22 = (t20 * -1); - xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t9)); - t23 = (1U * t22); - t24 = (0 + t23); - t18 = (t15 + t24); - t17 = *((unsigned char *)t18); - t26 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t17); - t27 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t16, t26); - t30 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t27); - t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t8, t30); - t19 = (t0 + 2528U); - t25 = *((char **)t19); - t19 = (t25 + 0); - *((unsigned char *)t19) = t31; - -LAB4: t1 = (t0 + 7318); - t4 = *((int *)t1); - t2 = (t0 + 7322); - t5 = *((int *)t2); - if (t4 == t5) - goto LAB5; - -LAB6: t3 = (t4 + -1); - t4 = t3; - t6 = (t0 + 7318); - *((int *)t6) = t4; - goto LAB2; - -} - - -extern void work_a_3230118638_0181651160_init() -{ - static char *pe[] = {(void *)work_a_3230118638_0181651160_p_0,(void *)work_a_3230118638_0181651160_p_1,(void *)work_a_3230118638_0181651160_p_2,(void *)work_a_3230118638_0181651160_p_3,(void *)work_a_3230118638_0181651160_p_4}; - xsi_register_didat("work_a_3230118638_0181651160", "isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.didat"); - xsi_register_executes(pe); -} diff --git a/isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.didat b/isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.didat deleted file mode 100644 index c25fe90c648e5266675cac259c0f782effd7979d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4512 zcmeHJzfTlF7#$UVgTF;3s276?)!ps!L!j}9!DwfqiNr+LgWYf^a(CV>a#WgVNn?VA z2_cro%ECfpLqbFCUqE4DLm{y-n&5l8^TDzncSEF5<4fLrGv9pk=9}5s*?rwK_x;H? z=e7bq?q;b}9DKT6shJRe=z8Z4rKS)!vqz~#uwk!K?Vz_)sW$lA_bD|9UfHkISFo{B zsWf;4ybC@CUx9ByA7XX0nu#GM8Ht-}LLQpauydO44k3rbh}V1xOJSV z*f*?W+#smHBrQOnFxsYH=B3@{k60_%Cb2cDLD$7cyG#3Mh2j2cb%g#h4}DR{*r=av z_F=g`maz|f)btVe0^^tT;Su|N*d{-&+B*E;O%y8{!JI2A9Lnv+u~9d{Tc99?$^mI? zvE}@CKy1Mu0p>Fg2KnIdYS7Pp=Q*kd_RoUS&+$uOREKT8IueKKI#6c;lzu+@6L1DP zF|-2EUklV(26<)(=H6dLhyGfiPAdx0;rSRvJD5MV;n0;w>$|9P83nE)AAHwdpdGAB zt!^~+kAbp&KR8rS$3t;Y`}p3>SJ9z;=>;t1>ltoX#5%)xzO zd^0LXVZUe{@#}r7E5+wTor@T79JU3PZSmJTM+o&HcMk9EW_T`X9r4#YM_R_eUpW6F z#-D`!pmq4%>8FKD&IM}!4!FMOAZ-}_-sywNYgzh=sPG&M*vGwjh9dmj+TaUohBfXZ z_LK;~vX5imM>NjY(3nPSOGx8isLFnEKL}Y9mg*z$uK70`FV8Ki!~2Se`ZpUNs08l` zb7S7}PRqMQP5Na{RO>?WpeC8tgi)VEce-d&zgC=_Q^_rjc#;U~$^AiFOOIGBljV3a7N2()fSv}ny WJ$BOSvAVk~t2-HYa!$t6LO%gV&cwz5 diff --git a/isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.lin64.o b/isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.lin64.o deleted file mode 100644 index 5616b2fe3f32bbd68570e7a96e5e8bd7591dee34..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6312 zcmb_gZHya786MkrIkyeAdxR2bY29{(9EteW-@CL#UdO)dp%n>D4v>;`&u4G$tn>L! z_9h%9sEu5rTQ(OWDv&52ibQ`PwSN%eRMDy_y`US@OSCr2ypHs$_L*oZQLshMlf~4Ax+Jy(0l4dsrY3$Uc>AMISvn%9H z5@ei@F^-BH_0**`;HVBK#5fN!j%L@<&c$zmWo30h7=j7aE`#3S^8l$_e)JO9LT1=1hS)K$?(H?Yl6cSjB!^C|iHuY((k)Wk|X4hl=H7 z8!JVZcSzA?6V+W)s+&!8`8q?Q%a@S>@wp7lcX4Bj;w(Je7B>?olsF9~e21ZgN2AM+ zOXbCrLX6Mkj@2QPENq7xA`KZVv9ULso_rUBioHWXx1t@8--tdtaBF?N`(8k?b_nw2 z=-E*izI**&>+1(q>mO_j@7qXx>6JKl98~)`=LFfqs^uPu)h?l@;qVG?;X|B-HDIs< z1s0r>$y>NS?4GB?E2?!wSW~U0a83N8f%fJV1zM?}iCD z@qZk%zv2?KrMeK)mh7Ft((LXJfu&mSsMZ@AM^ddzifszpySKu}_oz#+obQQs95h&- zYcxvLK7wblDTvNPiBEVDpM;g4VgMJyaC6S>wI5+Nzr}3UFdImzi7A!y&vtROXbCuy)S{Zo4h zQ*Cq_vm@rDj;%gvOec*6BZ=4-=h`zPRXvl=h^ds6&q%tMl2Z9xDwP+L^+vsFqBjr~Ga6@qJyFPPqz+a|wzr^+nkpoM?GojN1 z-NE1sccW<{0Q`H(xq|J{$bqxLrO=sy(}Ue@!IxYX;K|OHX3gp(v}W6Fr&+IUG^vHmW2 zJ70MuQek?mJp?%Rz45aRNiap)#y#WxF!rtqQWih#5*OTt;QC`B!s2J^-vF$i{{%e{ zj8DyM=(BMhI{o}R4=T(tKjjS)1?38z6sO-#ml<`dbP0jRg7q zRv2;mka?Vh*u%r&_?`+lPk;+1=-i{w24RMcoo^RlWw-*)iFJu=-BilILD!|^P7{2N z(2h(Oli^>Z_?Ic}e3t-wk>X=CHRoFd7)pqX(?#5Sh>NEer<=`#&<{B$t{42dGu*sx zzjknT=zDcSvt0XJnLSG0JR_fun%7F!8IRz)(5wJ@bCHHmwoU7 z*zbPvOFsCF4}RVUf7J&kK4oFDM&^xVE?toSWA&)d>siv861O5{wj!jrBBZt=h$N}) zb#7Zw8?A;(^nKd?lA`JRM@J7<4(W%Kl2*~lLZ_j(jj2Y*G}^jbWcNT&oY#(6{FuvzV7<(l87IR`@oecaBf$nL;)t%9(UJl@SYhxsXrmIT652 zCYSb8$x2coFJ9)OHy8zaG0@K&yfg7VLdZYy z{~M49oktvh1v4D`DEPX>(uUz5MGyq}sLOEte+C8l_^oC59t1&?lrS)7ki-0Qc(@%g;wIUK)@%ubi$ zSQp^$Jci?Y6b1caP0R3~a5!)0Z4Spj_!$4H4}O!waqk#^5EBCN<`t#5cfX1p&d2k# z5B?nv=kt1z!}<7^Ih^Oe$>BWz7R9mQ&s&(*1K#*|aX7!fMT&dve469)`#Zzoyq$0R z*!cm+=k2^qaqoG!$noQl8{4mUIs9P`zZVk)!R8UT7=JH^Kg!`vihJ`t!SVSxzd> -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "/home/ise/gianni/IEEE754Adder/tb.vhd"; - - - -static void work_a_3671711236_2372691052_p_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - int64 t7; - int64 t8; - -LAB0: t1 = (t0 + 3104U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(81, ng0); - t2 = (t0 + 3736); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)2; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(82, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t8 = (t7 / 2); - t2 = (t0 + 2912); - xsi_process_wait(t2, t8); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(83, ng0); - t2 = (t0 + 3736); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t4 + 56U); - t6 = *((char **)t5); - *((unsigned char *)t6) = (unsigned char)3; - xsi_driver_first_trans_fast(t2); - xsi_set_current_line(84, ng0); - t2 = (t0 + 2128U); - t3 = *((char **)t2); - t7 = *((int64 *)t3); - t8 = (t7 / 2); - t2 = (t0 + 2912); - xsi_process_wait(t2, t8); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: goto LAB2; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -} - -static void work_a_3671711236_2372691052_p_1(char *t0) -{ - char *t1; - char *t2; - int64 t3; - char *t4; - int64 t5; - -LAB0: t1 = (t0 + 3352U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(92, ng0); - t3 = (100 * 1000LL); - t2 = (t0 + 3160); - xsi_process_wait(t2, t3); - -LAB6: *((char **)t1) = &&LAB7; - -LAB1: return; -LAB4: xsi_set_current_line(94, ng0); - t2 = (t0 + 2128U); - t4 = *((char **)t2); - t3 = *((int64 *)t4); - t5 = (t3 * 10); - t2 = (t0 + 3160); - xsi_process_wait(t2, t5); - -LAB10: *((char **)t1) = &&LAB11; - goto LAB1; - -LAB5: goto LAB4; - -LAB7: goto LAB5; - -LAB8: xsi_set_current_line(98, ng0); - -LAB14: *((char **)t1) = &&LAB15; - goto LAB1; - -LAB9: goto LAB8; - -LAB11: goto LAB9; - -LAB12: goto LAB2; - -LAB13: goto LAB12; - -LAB15: goto LAB13; - -} - - -extern void work_a_3671711236_2372691052_init() -{ - static char *pe[] = {(void *)work_a_3671711236_2372691052_p_0,(void *)work_a_3671711236_2372691052_p_1}; - xsi_register_didat("work_a_3671711236_2372691052", "isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.didat"); - xsi_register_executes(pe); -} diff --git a/isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.didat b/isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.didat deleted file mode 100644 index 7db5223c4aa912522dbaf0520e511bb02507103f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4052 zcmeHJO=}ZD7#`dD-D2_7i%?Ot2T8J4Xcf*w73 zQb7+MiufaX@Sq1lMDXH4K`$P>SP-cYpJ%g^j_cBHp@LsedFGvYzvkU{X48Gtv#@mI zt93~LA2xFoA_3m)5aOP~Z~lC+Q;5m$kX87 z?Wi4mxDE9~64Xfs*VM~>DK~f#%LCUWwh-9Pn@b%f+Sk_69_FL^b52OvT7{1?F3d`s zY~eL*isNeMEGYr>Q){GOm+y!93Kn zxRvregMA1jcxU>MB$&t3!?seM&#)f^3EsaWNaCMYyFaw`gMNO|yiUzBsNaR*kgww1 ztzsRPXE$v0C&KcafN{8GUbm6^k;5qH6l9-Y7&nkM&Q}A&=zkTH3y>$3Jgde2>2^N% zT@1XN5(Aiccgw#i{MnJVY50!F#Xl<2~Eow$&8qSWu{V_FjcLY&@!5l(ljFxt->L{#9F0b zyKcl7x9&`uRfOR7{S4k$g6}N9Db~97f-!V-Dt{dv!Jk^`CVKa`rjF}O;)a`)Ca!MG kZrCyE*rhnSWTdsUrs+w;)RSr5$ZCm{97%Q6oAKe(z>*`A(Rr)AS@A3Dz$5`^J9bfahkeWBr7RN5IICF8+#M4>Yr$L z+Xlo>B&$J|vBaeUaq1x##DQz2N^OBETtVW%F(=dtgvtR_0V2FNJI|hM)}?|cjc4YY z_cw2M#`}IQe*i#lXX0)XXvM(oHwKv#m9`n;VNAC)XAZF?RjRMu@!j?t?|G zkz+r-PU>&8)tmLRQS=CzjIL{;-?bLE7YTJt2g!7)ZQAO6Z)ax*9c#_Sp{Uj@5A|vF zTLV1r+nD#=e4{8QABW7O-yQL0tU zgyoot70akrt;8$2TrNE}I#Dc|HaJ!;l!^>}>0NwrFAwlDH97fwe0Xa5wd3(oWmHMW zQ%NZU8G3t`h1U8)#}4g}egp>r`Jh-E#{P7yuMmr} z0T_-7eii%aSbQT~kAy#qMdL6%iwxud9;Ufi{KN1@q}~($4NN^zoVO~jvBVU|wY|PX zBik$+ifgX9Oo6f)Oj&Xqrr0%{pt`DLc28B9q77Goky5S|jItlvHR6R@rD9gWeYNJA z3e@PN;~Ir?!oV!)%eGN5MWX+&i-S3q0MD%~SuwfeyKJOuhHD&yUq8czJ~)0T!(p%Q zgAQF$dGYz6JPQqZ1SgW55OxycLw~FjK+)%awO7Fwk`(d%Ri^x@ELkuLvcD|s zpOl>nT|{I*FUJ1-Z%TDTeG;srEHg0i*96xyaIv8zy^f*XerS|G)!zb!x%lIME8>$r zsBrPmi$H_omqdJugYgeQBYWcS0K@o{Ck(m#AmS?oL{D|2{t|E+%c}eQ8}iwc?x(_D zgd@zN!hW?)yaDA;_%aNF_P;LnuL(umKm48U+5aQJf>K=*a8uY*=ie*N{kfy=6_MzI zSj0H}&?tYZKR%P7`1c-y1(2h@fWE1x6!43BJ?z(=fF+0*>?IPI3Fa46VdT9*?t%Mn zGsf_q3h@)*nc(w4c^X<6R)+bEv8$p#4Sk5eu^ynhX)s0oZ4Z0@A7UJl{0qdph~#HC z7nh_AVI1La%5I3~lm+a!%K6^?B91WlMDd9O^M1&mg!YZ^G1Y|Du>ZUZzutv^--X}l z!vE;PS(ncXrNCBAWlT+G?)w#@uj(qRt|b3WDan-lB>z$GuWD5-m+4danNt&aedf%W zx!k-yKXEFb)7hG1>9)CIIj(8z-j~!a1Ycl<^RDS|MaOjY!gpIjQSbyE zfkylZgdpe#-xA_aAp}AF$Dk3PMhJrX_|_6%KnQ~RN1+k--dB%5F7bC^jNC1jTmbe^u zQ{uAzHxifQiZbw%jkkk1W%UmCC)vN$<(W5Rll8hJ-CqMW-gF<|p&lvj$ D@~c6$ diff --git a/isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.c b/isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.c deleted file mode 100644 index ebf23f8..0000000 --- a/isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.c +++ /dev/null @@ -1,40 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -#include "xsi.h" - -struct XSI_INFO xsi_info; - -char *IEEE_P_2592010699; -char *STD_STANDARD; - - -int main(int argc, char **argv) -{ - xsi_init_design(argc, argv); - xsi_register_info(&xsi_info); - - xsi_register_min_prec_unit(-12); - ieee_p_2592010699_init(); - work_a_3230118638_0181651160_init(); - work_a_3671711236_2372691052_init(); - - - xsi_register_tops("work_a_3671711236_2372691052"); - - IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); - xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); - STD_STANDARD = xsi_get_engine_memory("std_standard"); - - return xsi_run_simulation(argc, argv); - -} diff --git a/isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.lin64.o b/isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.lin64.o deleted file mode 100644 index afd97e2ea15a1a0f5980b078afa815fd5d1652ad..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2760 zcmbW3&u<$=6vxMQOHEr$T3QH{N)|%UN_5#>Cw79MvXeM*h1H@sl|U68ZS1MDG~Nxn z8%U85he#-XOFRy>}IF6|7&shUu*c+FTkz)uffIfH-C>tqd0mn zX49h9XOo;m@%{72YYp?Q;SWjgX=SSQr{Ql&`~LUETW^0yN$>l?UGDHowPw{U%dS+p zU8&jCMa!JGnJa|gJKUaMv`x#bE-tb#>hUmgyq**E7+YIjex-bA?fT8jU$N5HS zcE7MvD(62f%@Syoip&V_4I*cgd=w;pOGSa$c8o~uMa<|qk;9D5Fl0vHqXM~QSj_19 zgMsi!;`xy9#cL$=uBcLHZ1 zNAb(pm-0P3MKQu6AlYo<*bC&r3$m`6WTH^}g#AOV z%2)ghG5y&i^FWTjg-Xiw`J=AS9dh${l5`*&Pg4~|A0MyTwDBLDNb+xM18UVoH02k% zW9*O7lqMQ)dM|~kGr|0(Jz;RUNNtb;laoKf)#@h=$vzzI=VB~5&JsV-KPUOi6Euzr zM@|98UZmEusr9P!0N>rrICBy#W$<<4XV{-3m81Nv4E-R3A7t>aGWfR{{O1h*PX<3l zTaNjw9Z>!vakUmo9oy9m{p%Te7Hx7jbO(G>Y#Cxt@PXrcMwc1wWfMPiBea)o2Fmza z`^HkE&2Ox(cA6V}qp{R(a<&(`-1Xdu_eALSJz2lw$3Ym+w;Y z(W^A>bA0{U71Od7s+9$9S_@Wn-mP8iRuFCs3yzU$GF#lR2l zv1`p{lfRL^%@1Y9mp$(HZNKk!In7{>bv9ObXQOd_rLn$}tasPr^fY(3oyhe)+7+A~ zFnoz6D*w`78Q2qmWH?72r=qwz-=N3-DxQ2lNk2II6vy`fgZ?ZP#jAj1;22BsI>j(> z{MS%?4Ui1S9^Ns<+kj-ypQoaD2apVUtU>WN0m-0$l8WLSkPOLY_CKi|j5`1T diff --git a/isim/temp/comparator.vdb b/isim/temp/comparator.vdb deleted file mode 100644 index aeff0735a3a3242aab0e34440d8b0248bdd39a40..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4233 zcma)=OK4nW6vrpVN21u;V0~1v5wTPd>%zB0GMEW7gLOb$3Chq(VmkG)a3KiAt4_&8 zYHP8D1Q(qoMX^B);-Z~}M7JuqaHX|YsB7OZQ0o8p%{|vMckWmZeDj^}od0=z=brDo zHyL?q>)^$?Tq`F!`@+`2p&frsJ-k!K*UoD3+4o+3earLn+aAm1*3XGF9e7TaZ&o(|gHuu)Hj~_9}h0>Ku zrNRcy$5)q@#*2lC>civZ`s`g_eDwa48>^F2Xix8+#-Rmd%`lx`BO_u(Nq}d>iNuox zfjojd9;#KRY>pvaUMyE;YGRlrMY3{q7rAS-V0bIdGqNL7GDKFN;kP|Az$E%x3Rngh zXuC#_-E^><{y%nf1ipy7D?c?`E|n@Ve*!)Plg`JcY|tGV*b-m8nE0tzc2|q_!h`r} zA3eFY&_41;#S)HjEKPK8%zvx2d92@PoM8(hrqcq_lGK#hURM z{!%|*X$+*508fFqPgcwbAm-bg%=3|xTNmKO%QwiryMrYNd)e%)Z9nKj3f4HREd`U2 zg7J~#<347OUQGS%oQch0N^EoCV-MK<0Wc^CexuNrYleq3ufh8|`LkxcokeieXS@7R z4{TXa*C%Ge+&#9Ih06}Q}m;P(E&eb5O1SwE#6FhVw!j} z?ZaKCf_Qfedu5EhLUXCUoBMFwPD4u8ITgY|)PtG*5+7oEPCixX5TEtbEWaO|2ug9E z=!t>3D#noKK7KZPgw|d3tDJCyXeUX=$O8Q)20n7iP-2z(Cn?f$O7cr=^R`PjIMWZ= z{2trcR=6x)YRtbjH>O+MGR4^T;f?=@jZK$nOok0PxJEM(6N;Qrj#NRCxyMOq`r(bk zXJv+mYqjk0U_uo8NC*ce3=T$zzmxI_iMOO3NS-`gstaL5!x;E7t>>CAM{{E``@Z8vu z4#-}I#Tm3$%nl8&>uA))s^gHxum+Xo0bZxsYy&QGLO`7sAT5JtBSzJ(9@URmLuPuS zC%K?FH6-Mtux^mODL?1x5Zy$EBjF3HuTwj6eVuY1QErNzMJ_|+p@mUitwGJMmk>ol zkrO5s6u^*~0222g&r_u=uhAN(cBar|By7IJGMI(o>Z5TAw8AoQ9qPnUGGl zTmB3s7Xgl(A>vNsiRmJcXn&3^nUV2<;oq64`LZ;bnum|zc+w(}M^M_VZ_l20v?Xh< zyFM?A_$ByJ1-F+kGOTD{9)igu$MeF|>$Ol& z6Z&Hnlri{DhryV{&5^fPP4ss|_~R%()O}IYd}rICd0PC<7{|0M|8mfqs0m5bj;a}v z7>OFj616o+8y`8IxSgbZI$Rn(sF1Wz^iLTRM{neH`y)ilK*)JTL6Os6qV;lfzPWOs zVXsc!Qf6+Ahb3-VBFCSv3C)JG81LCT#N^4hjr`E?-(f{wxJ)dVL)5HV!yXXGT37XuAUQJesQFC;K*OoPc!J@b1*jeE1!I|c~`D^4g8?m+RCYov6ZF9(hVBa=8{^q zQp;EKQ(EVPrAJlp}g-mo22am)>f`<^?=P=Kw4!7)a;&Jk9UqJyOmwnFK@-m7ks~B}qB*AH#LPXx%pNx00cElh^CASxrwx55Aatr_K3g z^nD=Oa1Fe&u)4gEF_tY`8SQHo$w<`Z_?9Y#YBDu`qF6au>>R(zT8s8*U*D?!A=%!c zo@@o>HqM@nody}*l>k%yvqDv}DmAb_&3QLxY!v=LPG*YImk_ zAf1Cum^!|5SFxMwI++}Pb7s+fs7Z+Uh&&n=oa4~It43@JA>xCBKgEc3xZ9ltkNOa? z37Nq7?IJcEi;jpPmiz(r;NiMqy;qht8f^nKAsIF4cUtO0O2wAiyn_WAHAW-Yyh6~z zb70ag|QQciqJ`<*n?^eFY_qGrI;>tuK5Mi{s%-rPFp$m zr=oaAn)Xb9W6iy#y^-Om<5jHOc5l1+Vj+$1d_N7Bt3dv3f7{uy5{r#Ui)az8Jyw_! zEjK068lF0Sr)bTFXpQfT)^O&7I-@mt_h{|O43_$EAE%nl@NfpZz5k?oKnAvBNR@jK zW5cg%tS2#hN@W-7#N~$Zh{ibWQ%fcbHJ(zApyAZQcsmQ;4%^ld#8OUkgZk`OJItVi IhV>8nUtX9FSpWb4 diff --git a/isim/temp/equalcheck.vdb b/isim/temp/equalcheck.vdb deleted file mode 100644 index 2ccb936ae726d840efab05d823c3b1c21ffcfdec..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3197 zcma)>nW!~`Fo+AyLZS;dKIp=g)*7LE-;a&d|L@*=CMUNO^uRageCPbn<2&E? z-Fs*J#XZAU2SFq2IFIVphdemIE0t3#?=3f*&Ba#pqs3OC zH2qeq{CKt4+Aj1q4M-LAhEtx*4=N%ybZ>OpJH#rrLS?E@KNfwp+<52C&z>*{Vanz5 z3ZXOqQC>(U}d|=IF>cr6Rz)Lb7^5 zKpsIIhild6jVFKs7-|JWM-0U3v0=ZJ z?K_R3ypcjK5a58k;0y@EJx=H4(CNv@{u9LON2T9fDeJHf2ctLeYpFo^;EBe#X{xrgkz0WdL;{C1(Q7k54Bp=S<<{4F!tZXGy^+ow1; zh%@JK&BQAUb0=p~@c^Pfsc#B#pUX@qi=|p&;~|x4PHjAt1WD)@rQjU=tnr{?+$1eo zuhWP-=SVftj+b)N#8p39QxBQ?i3G9EmlbJT92G?`%yo$3#Ka`a7j`)$8~rXf?!5Zm zuLoU1e@Gxj=!~vKzh>rlT?MIQ>h`DXxLS2Q*=M5JlZ^>IVy!4@iUhh@-116HJx%Er zL(qpD9{-WCkxQ!cIjMDqHiz+TV?7W)Y=D93cq4v2Y)o{bZhSd6#UoE!cz5G@@69yt z$y^Egx#@3|{Cvo7Mz@)e<3Kd}-l3C|AmHD2N)O0woi}m);@SCdNj#yy)g#V5MQx*B z%o!5du3N>kZYKC-qfMFHE~)$Y(8)R2UTuet$d=ITV%n+mk&>z?(~LV+n?60(b`2`i zl;qFeD(l%=2t>ICA&JSka?f)(MR#m!L#iVT>fbUO932mM|IEV7JKc0NT6ZI3nk3{A z+oc)w*1zHzNxIO5wikQ)B}H5iXN9nbpXul!`(XOe$u|mAtJX{9;~Sqn91~*I9F86i z^7pS`Ua6r1o^V*=Kqn;JtKn^_MY-fh93xGGCB+yMNA6STlx&_mK!zdT{SL;Q+AUV- zWNcl&0eU;r)*1-IG9Ly{yYzoa#;{uvxLwYa=vh4!Iy*%!#j|l|KC*8T8es0WXgmoE z+=oTBZ$xddu`9Oo*So>@W=iwP89(r;R7-_|S1c~x{qp^4>_Prp z;FQ4A% z$c?~(iJq(yPzq8fi%;vcanLN9U_9A2Vg(F_bOif2Kc2fL(uOpkNUxNYN@Y%B0#u@n zl^V8K6tkTY%{g{}Zy^ic(_}GonLk4g-Jn0vxYSb*LT}DmVAjA^ve;=hof@TlIG4Kr zKe@XQ%R=OUKPOscaeGMvVD>3loOXV(oXm4^a{r<`4}GQu!Zo)bHnt#ZPp$1~=ZxB{ZzE96S!8X2(6uA-#xT%0*u+6Z z?}bzi)wtICq;I6G<;F_fafRa|e>z|F!sp2vV&QXy07Ji!&TsmYFp8vu-_}k^8$Ye? zmTumBvDt01LI8CHcc#_bux@u)&Qs8G|IK?V$gE#Q5KjUVLh_W6j({g#h=x;50SA<> z*PgPoci#3jVAZ-rXBc-7^mGE;h&AnNQ_^o`b@ ziqUg8UG+I6Kb)fzt@h(AYrw65n0X1(y$MiQu~jP#C>S*)fI2;xNnU+%@aXB6?kwOv o6~|+g_ovFmB>tG1TV^u}CXcK&8Mi!@mn@LPYzQRDUHBj4A7(^8xBvhE diff --git a/isim/temp/fulladdertest.vdb b/isim/temp/fulladdertest.vdb deleted file mode 100644 index aa7acff7d72eda99681b40f5164ea866000e61b0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6168 zcma)BO>9*~7`>$hTWcv)G)~Wu74B60T^c=Sde7r~bLT$$CDWPj@60!6W-j+W+FsvY zzafe)MvxnCZ?A7$?tk?Jl{)_EN*c#EuYCK(u4wsoj+@ZV&(6%}W~a(iEl}pmGxL{b zCMVBaX?^}V@r<(qe!Ux=cWe<6DjFnRX!Mg;9+&?W?Rw7AhdJ9o9Ww{K~gI(IIf zI@2-(w3*qtvn`ECS%*eJCCl}zz0(f?mOk1h=gSNo9PJw%=^G!L`fg$Rlb)}RDWn0u zVzF4*i2m|Yxtt#;94QUw2gk<_eE;R=r&>$>aA0MhUMHYia-!2FAIH*ZBkBl>V7w_L zsfQ618^MgB(b6mGT|gj)wW?;tlrfX&NKu^_ZqceNkw;zYqU|~q?#X(L+0#$^HQ2d; zACpA(tmIv@PN**S2l%d{)%i|Nbd3NHC0oQXg^qiT&5mPZ*V+kU?dkmkpj*pbCR^*F z&y^tBsH+6oSc1l}87I5QHCi)6b;>Y|$RFnxgq^!icZh+`!O6Q3-HhIFvP?U5_7r>4 zG}g&u+#P5|;IyKr&U;w7c2eB+g2M@rmpLHHFUi!b{SBaOMz7hISi&I{_YX9B@R$f|7b0_TasK(R_34kLs{H7it5DfxG=7EesL~0 z!NIo9!NPvKIC_b>*r@pB_5 zrq0Yw=kRz_v9Lu15&hID1Ytn}k-sL0cEcegAY73^$ZLuJv}y0i*OYlMr|`u>4UGR z&LpbVL04>-K};X)ui@pq-APpKgRJNsCi=aJxoLOo-9RUamz&Xe7_Iaq(R{EBmI|ZA zllh^6((r(A7xed3BY(0S7-RQ|0FW&s(V$wmW$Q~2bNIxYuM(%3m`DL#QxL!fF z!)JoVEMtjxCS|Nk@R`|bGBsxA0xt?PtBmVRCjM2Z%&D<zRfE-l2yc}u2QcQMDiRwbSLf>5kYtjmgC>CHrq-q#u=l}? zwN-;bcXGFJ#%AmvVjkX$o1*BniBWZ*VG>r1`Ku=(pp2OnE5KFU)$~(R@q<1XbSJD> z&e)7=RjhbhF~PXyIrOwMe{8HcP#Qg%A0I1@`#RiCP~Vz1d^Z-P4c8pBIoYEDv@SH# z_Xv6wh~es~l@YT>OS-32xY=?#>Q*$Zv`wHP851(4EA_nMKXBh)hu$ZxPn4SsT~ULABNv2kWm^CBWs)W{g5Mov=&SM*W{NX8&Fa(X}~LO?QR zQ6s;>A~i4jSkRrs#u=g}39EEmfTM{MP|(xHU&ETwi$eBz;#6!5#|M4VL2+UuxT2xJ z&xENTlsH{%p!sHB^%E@gs1faN6mbE8{AN>KOy*lyZ0!6HOa0`)*E1!u>f~LVc=*by z!sE*r@np}$Q-Fup>EZE(jCitV;wiwx>+_MzZWRlXrN@ z{J3GV?3u}6y7D;=40ojX#lqws=&Yy#t$4IB&d>2)V?S-i?gx6r#m2_mv5}9F#Y})k RP5847t(S@?ZTLTd{{YwF;41(C diff --git a/isim/temp/nancheck.vdb b/isim/temp/nancheck.vdb deleted file mode 100644 index 67f7155ec20de752ccae8de329bc208268a3995d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4237 zcmb`LOK6-`6vrn`YU_j4rqxQ-NlHN~h!3m}ER_zJFf*+Vs4GQ?jEOZZ-7AP+aHMIi z30(w|O*3N#!Hhz1B`!q8Wd#?mY^`0mukW4I|L@NCAMZ?NCS7>o&OP_s|9RYV&%O6c zMox|n-jvByGooMK8XesJ!tkrFFc{!xEN$@DzP$Lw{h9S!;BQu2I5)R?_*|t{*{;E{ zd|@JA%9YkWIr;L1%C~1<%4EK}E;^vO;>3vs=9gA-E7fwLSUkV>aeaAtd9k|u`C>Jf zf8#>6@a*J7bx2qmB=75k%|46|$=()m$lhJ8;j&oH6-&99wW|arqPrsh6b{Dd zEx#-|HhxO6^muUWHsyxzHK7*J>WAAFif!ieL~-=9t}vc zb>!rU9*R$ed7lz+Tn+`QmZV1xLY5BbR)6P_C zjg)z$(arLmk!3|Ol}0hu4ZA18DvE$r6kV}*bwp8j6!lKaPiTF2r)VC5kjH6rOVbjy z=z$nZkwZx&^g#`$*5wKC9)Z+CW>7Fsnaxw9ox(R1L6RSF;)KIM3Okim`9O6yX zby7KAo$BoHK)O1wfh^rCbC`E-@>AxPrX+3=Su%Dc&XR?Fvy_z`yd<(>zAa0!u+Ae0 zktI(g5#Ny|LvTR34W{AsQ;)I~tA`5g$P$s5h?(H7rxDU4<7JH>3#R$_u?&+s_Gza< zpcp~$$GUtTZFrn;mqezylYC8x4PRz|%m0cnz7CM1NBty`yyu;SvhN4nb_Gz#oBnur?W3&bN@~dpWYPuxa0OE=Bug z6vh85`puk&m2^74kyRzpmb9(J(TC-vKV*t8E*_`2VvzgqCVvqclSKHwvftlwl@`$yD9AQ;Juek}1|! zF$IHSCrwe>AOvwyvykY*jS4PYX|1hRw}S8bp#Fbn&NqI!b0_tKbIpnM^YvcqcpYH2k_spsBMe4*dlIWbo<^)xlzd%3a=bKbZ~BNZeL@hSR5J|Zsey%8u@Ld(Z)H_ z3$dE>Qn#b;kuSW%dtqUIou)!%s8AfLPSrj;IP=yGA3bO)XG@mP=SMbbKD;he; z@?yC%(-YH~S0rmkv#HIe$)S)xDLr~YM))XC<|mu;++-I@f`23gWuOOa)yS}&h_(~| z$Cfv5ajVm%5VtzLlo^*c0b4YPo3agYWt$l{ZOfY=E_IiO#-|GTd@SKjSasw+{4DQ(f9bX5eXka+Sy2ztSa(JIJ2jPM^o_=GjT0K*Y5+{f zWWW~ST`?Q~sQBdcb<}01_s=RX9|!T5dVHnPl@_9S6pg!O#S8;rzRB@Co;W@c*(o^j z{EgzT-Z^4~S~fdts|Q&~!4@pErC>Z#Fg$TMP0)U(M$hIw*!~t8);h7xg^%53=M;)T zK=5m%O&#EX<|T07C%?xHw=)1e`mqp`^{jmfYk z2Ult)Vha+-l%w4E6v^D_xV-+J-ky^(!^2e~+dLQ(!A=swfiZ)F{+{n8d{peK(+dz-L0}Hjq`soyjMH)I-FV^>XYsj=Ev;wqZQ&cTH{BcTVS%9KO z%Q|s*aDq|AF)wkrSLXb%Go3R!(FWP!ba4jk5OTAImo+81-1+L`dW{Vlbe0#`r`c?S zUgDT2HJ1QsnQFF1zfLo+)d|;v%=E;Xv|U3&J_>Y=?AcNfpI@wp;5stg6z*&to!XY` z=#>44@WSXUaT&r7OBms$8uYAROav`R9J6IX1Nx-`BU6FIy^_c2QkHR6mrRd9tGbj9 zu$I$wm)e|KmwfAKN}ARsWaV0ALN+Z(9Fvu7r@Y-PtVd=jzj5KUTtoy-q`&frGd!*m z{_BXCyQ}fEc=#;GNkjmzL2I*aw{2fzOV(Tys#3-u*nS1I&nrB%S++Fa_=&^$&{ay+ zvHbS`uJv42v8y;eR!5e7r-Q+C@dNmS!DLN|VksVe45)#;%$Aw=PYuRT9Db%6%!V2a z_x7D?FkQ>T*I+WG79d>%7pnt`r%l$XBPb@0>99Hs5~g%W;v#qGR? z-UPR5+b~ZEzh1+DWG@n7#Rh)jm?Uf4Gzj%TnPkn-k~Nyd4Nn}7FH77f#F3Gq7ZOh= zs{_A1Sz{7_-%!V0g_f-8KoiVpZ0r*tGyq*u5$%DD83Rq6$OvRQ7RYF9(w+QE_UP&2 zv|>M`LIyE7A6+1E^7BL=sPC#T?5WvhfbXuE+m_RFBzEkKx|FQ$41e mRgcL+G32cr@nb+e_B-5o_~8phEs2xktL;K=M$DV^$J4)2*ICg3 diff --git a/isim/temp/specialcasescheck.vdb b/isim/temp/specialcasescheck.vdb deleted file mode 100644 index 3098f17ac77e2ef300e7356993086139ff8afd50..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3428 zcmb7{U1(fY5XbL!(+|bXM~ODrnkYiCAZnmgu~ZT;Wj6#D)Te^5vO+?XzFUyqB`aA; z(mn~vQ@!a@q(KP!Q1C(2XMOWYV~yau-})r=|2sLene1-6>A<;X&dh)2%*@U?_wLbm z#`1@feqa8Bg-a=Q!iIunV6oRJM-OFpUw_c&P$>EKDmvpOF;lI)Wpjk=@nW$uudS_pXqZepA!Dbm1vlZI;+i#iVSQ0v^lJw% z#J$1eY!0>PKdoSW6<*M~-KvSz>HSz87LbS;SJEq49cDBg&FWzjE&QI84mz#Do@PzN zm1=2rZYpxO=B9et6U3&;7BLw49 z$HzV9 z(;qI2zr_Eo@lTY!>nelYpOPCM$fGW6^NZa4yrfd-hHq6SbvzkVEAz$D+q<_YHv!eF zI^27XACnGxRv<6mk;+!~{AxzTXO%J;{>krkF{#t@CaTpxSXn%$mq6&DXkopl;VhDqhm zc$(r~&1X28%=q@^Gr3#^>ehT#s}@EK`C0RszGw42uRxAttU;2+GSAi4y`)9*w8IR< zB8C<>L%Yzp-0T>GJ0U}j;5>CaM`eSv+#w9#H`3nAvdK--ZrS7&3f;}Jb)j)aQx{B2 zu^l~F29)M8d2E$t7QpH)Jz7L*40GI<#)Nj_Y8*f7GONv~{NO#67vn>1XtfTZuZ^MG zK}{OHL+ESM&2sm|(L>&RS7VGna|@#1E?)Va2g%{`y|xF?2x^*E$m;RiLJAOaCQ1e%t?2)E^PTCLnLIr7&Ue25d7tl<4!%6x zxiyOFk?8ts!=2lo?R)VBCLR3j4IliC&%eHMZ?wDvzFXhi>6wL*)3vmAt0t3`SpO=y z!gwxUh>unFz1r7v{`-?>pO2!Ie?Z&(-HI zpR4BzFTGcfkCw*jU9wSX87Z6PPH|aQ8V}3wEgHezTu8TTD3)`@iQHtR_RZq-hj)MZ zjH%!zj^liv#!E|SI$Fp-T^b)PPF4g#9~66h)po{$xzTgYg?!#tlj+&X|0kGHR)oM*M%=B%wA zWLXNbLVmv) zZ~GBFj$tf6JsR;dpzl<5=Iv@alP1;aq*k4oOAQS(^=UM#MT7_ck5zxLqK{{iGieQGC>cs?SrAQpOcn*Q znCyIF@|DW*iAp|jpH=dk*~_Gfesf*;UHl*V{?zwZz)z}1>oeP zC&lR2Y{(PGQ$x8lS%{}@-c584+p^Pp-SNYcK@UmEyV5#^GOXIOzYQ zB@S$CwH?8dWh0+fhn~(s;Z9e;jf$I{+byA^j9~n2 zW|tYd_?U1Q>bgwBfCl6>wB&34>Du<^4dD{!nhUq}zXpOL()n9?yf0m~BeJGr&#BY} z-M|ya<8Pyv(tNSV#<#vkhRan({-wO&?8xQamieNa+uwO?TJuQ{!=}Y8x zJi`;mZ&se!P@eIve}x$y|D*N zI+-yS8peiiXl$>S8FP_fZ1}py^4@sN%$VB&V|(PfB#4jZCwa_tcQvtu+tDvvcICi+z%^hxbnwM%aQ<$xP z@Wz2(e*JRht*4XZ8*GlE`!io2mmzI_m+r>(yP~6)t)r;-Yt&;l}Nn9R@NQE){`pwEbjL?lK4uR$J<)vB|GqskU9 zmMfT^m`+EL;1LN-VH8wPU(D+dU0G*K$ z2Y^^$0) zM%X3PgZ6#$=i6vI|L8Ful%MOOAF`^}X7Zdw=-!8``PBvO_6YLdgT%)l7>|HICF3qp zH3Q%90{kU{uB1*#*&J!MS_XfP?dSRUnyqhu1eCo`-Xq94|iHwc?;(9;b#V5W}dwg@*O z*9jJ0$h?Vr6KWomD91vKqP-*1>Ti;-F%#SYOA9|LFTV{(ro|pbc)jpWomrns>QdYc ze-R>a(bqwYX!R`MKAE~ z3yS)1G4gZwd$ct^0bosX14RsvUp?~;A03`p#zS4N!HCcRyar`uJ;MI?!$G*zxyFz( zgaRP{Tk*lSNY7pneQBT1g#n~a&dcoW)}dDSXwxn-?hG@hV*n-K)*ffSS5`~d#mGg)7vSc+%$z@r}KW(yB` zBi52Sx#~(@X&yQJ|sg3vpW{ou9rlms-zbW%rOs3~ScQ;hcZqncv6mXmLa Q$&_M%;iedWLjQ672XF|_9RL6T diff --git a/isim/temp/swaptest.vdb b/isim/temp/swaptest.vdb deleted file mode 100644 index 55a46bf426b0f771ecf4ebcf000ea471715ddd8d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4833 zcma)AOK4nG7``#FHolUk51*w;7o|4U1}tqgPRYTH}W^Wx_s%eVC8P|ooMs3XBKm_(~arP7}Tm^ zZ8SetJv{nm|G?>+n@$J8+P|QE&=rR#=Apj?{c61u7K`s){CH_$Vc~4OP%7mM$LnFW zP!CU*hwF@V2O0_aSK8y=jRz5tI?ARN8#FCe^2JiVR-L}GH1pA(Z=RP)2XtW=7VbxX zakk2%EM4#KA&7;KsQl8rc65W8dJuoC4iv40pjt{f@Ce| zaiUTlQ|}BiY=~8uWz#?=Er(rT&%4Ext(J^q&4#jT7;qEr1kav&%CACB*gttk_RRmb znH`4C_6O*GL~BbcndmA5Jnc7zJc)`YjLh;~cG+raD_-1Fj!)D}>G6ruT)0PQm1Tu)YVqS(6pYIc86?=S#fK8}05z z3lXOaJ;z)xzGA*##n2Jrt&pMbuRb9WzY5!Pd$li%y>t^poS*< z6B)i6HkER1B%JuaZV^9yE5`0E!`}rR=>UwZJeI;2{ye=RV$=Rg#`v74ut^^o+g8}* zLk!~6*d$N#W?<9#No>Gy{fUiuq~|>}IsNY3Ob%Cq4j7#{K^-QJNt_@z@C7hjeCZ>@ zx0;}QI6?902};hKq^!l)QH?EPY&=-PCVhlF;#*;p9kGc|W0O3|n_7HTvjN0V0pr1` zlnWF2;qaK{6@x{GSI94Dd*Sy86kuJ!x>eEn4tm-?+H9LyWMsS3+cI`mQITD*w^JF& zE+XH;iU|5r*4afv*1L`MJCk!WlT6|moj#T~T4VLr_IPWS@?yDA2}g%cjFeA}q{r{` zXo4OOtyBkrA?D^Tx1M2@AdkM;Ds5;%UpxVs*-r zZ}AD}|G4oN@BPPFLFk{B_ZWX%$4P$ioa$JW?>N1irCW{f0plw^*=WAqbYG{~W+LEd zC)vd-!V=#>Mp&oV?jvX|xkU$kr2Eh}8fPZw&d=xESy(54fg|km3K@G>$C~EnK4fE^ z>@Zm@O#&YwNvr_#YNc0|NdMuy)AvFt5k2IH0= zMNc{IkHGEs?DS%zX#j;IAiJ%t9>i3%)rwA;qi4imM3%dQ*1T}e5)Zs`iIR7K49C1d zWMrH}we$f%GUPFgG+S>RcU(RxBg1Dc-*bM$W$%6{BFwT+@+|nwBypQ?w#lUAlB7TGnQwl{_+h zE41<bc5mO&}i;1@lF-aa7z7;0<5R>>cCdrwfl!a+G z9(E6vw9)mEs3j1wYV$(GW!xR%nD8CS_{h2#f+7jhacPtz~N5t1-TY8Zdzn z7sk|>I75jd#Mqda7#F6vGtrH4rN|0*B7QDh82$h5-19hnePuZ0y?gHeeEsjo-1|!Z zi|cx?DV16!$@y2-^)7$)pV8;&^zd3AAM}e~e)GlsrMVU8eR_@^IXpXXWV$_ll{$mX z3!m+4o%yh*^h~KV|DWVuq1B2`a^ zaAjwG&yH$yV(a&3K7CBNF-Gfp`xhb~Wmw z)&_?HAGjK4^J%M+O$XHmo1M4W>6zFFz|N_|lwU2K79a4l!lC+845X#z>uyBq2HziK z`%zC%-bkUdBzRO&cSUbCVGbX1nAcN>+bBum@x?1eR>rd0Mca6=1qwDn^x;NX!@Qn4 zoJr9BVT>O3`$--p=8xKz&e!g--vy4rK=Hffy+JMWg9w`gr%#LcJ!QJ>G5oIAlPJ$d zwe)!!Bb~R@Aml|M?gAzWY+q8`CbdA!^T>EI-f3sXd!paJ3P$BIPFtiC`(VUl>{yH) zyOLN7}pdRWr56l(TWdRVYyIHz%R&1!N*uuK`SaJ}{>W!2~C#p$Oi~8s2!6=&_ zx6dKd0{N9>66W(a>A&mwL(iXj{?dcI?AC|=Md3c~4z~{25$2kFk4e#anXOam^i}d{ z)SJV}c`Ws#wJ{A0}|KbvBIrjMKQ8J$1g_xLFJwOwV&O zJgZy4&Gu2|BZc|jALq^Na5KFdH}iv=>3MF3XLSp>**?vDq_8iHo7v%JdN*$72RGC6 z+zij^7I51lqu@+fQ}!#|Qsp)~clFftn@0}~=m_)?cB08KJH*&Hje2FWJd_N2U2qT% zAFh#K^xUVotwN<<_VD>mc6=XR=v#Q$n6ECIEbmf>%hxflJ^{RM;bCIF+oLaI>vnip z%s`CcfOuo-=%J}hvDxIP!TUOmvK+lR)~oeOBN^GTYq-8=INyJV^^)AGvuUkb&CWdE zD9omy((K8Tu~8=z9rl^mZP=02frK|k{Mn>$m-)wqV!_k&&RL&~b4C^6H^ubC54(8N zWBeHB2=RHm-^C{`-gM$wT7K)q=kc3eeAUI99^=ROJrbYCZ*lQYxOmh37T|Y7jw0LY zn8)Ung{sATH>hp54^JIEerzCYjYWVQf@rnpQ2Y(vu`GOVRJDFV8eLWeEXV_IGvnQo!`fF*YA#2V;aKe_AbgpPsPZ zN2X`n3pz3cQP34F^)?N~mfEs|1=((jdZ=Ylcvh`Z3r_aZHJcf^R?KlUI;0LG!9?xd zIDOBnSD2FNGb7=q7fs)jaly@Jg_0ttW04W*g++o_?xmREyedurEf{~7_)l#T>Svf? zxa)$8jXFWRhkjCpf0`}&w5MF^Qd4TMsaN=}`di)iWwomhoCwZK?S~9covsNgUG6*2 zacw3ETf$OSG0G*ul>wmBQ2kM$_bpQYW diff --git a/isim/temp/twocomplement.vdb b/isim/temp/twocomplement.vdb new file mode 100644 index 0000000000000000000000000000000000000000..19332a9a16f7fa4e42d2d93ffddbecaac8a40947 GIT binary patch literal 4618 zcmb7|TWB0*6vsEFYSkvqrcpy}jh9kVQLFWCUD?n^l2i^a{#|8x81`@Wgk z*4H{3ugPTI&xn40qoZ-{=`;IYV9>zNZPA5%;frsUwq>f1sEPX(Hw)a6UQHDnkx?vx7^vet-Zay zt*JCQ8I(qw%8FJVpBQUeBbTs(6<%$0{ zq1>VQa_->4(r`G;<~nw^=QeI^+t2`9bWu?A#tZ7yMWl7&=$P}pFZbs6?CI`9Uifq- zf8@y2yJ<0)7HEque2K#hTAacDR|a|pyLS<1>F8(G>7}W|{gybwF*Ma~Pfg@|D;%tcGkiCC*cM*Q{ovFnzm}FMyS@HW^PI8Y8 z%?0rp!$A}&TVkc(A-^ak>)?VevbHG#6|=^RySnnWz8NnbemkGG#*fJMh zEHazFMlq=OMY-Q9jvSLOkUS9UhDHrcu95Ov!AP!BnlE2U4Dm=(9q;+_r1xf+^kl3A zac+t&g+Kn7pt3Xqs4MmkoE!$hso<11IoX5RBX(9TGq)|7%mIguW-4<)QMc>zHgZ50 z1^QdpKe|Y+C-2D)apaivaE+CLlamYc_b7*hJ?OFu>)u0RcWX+Ix&*d zyNXpzAtizC62~c~z$xQ~Eu^7+DeU08^(&(6fW@5vP!t z*uka@oJ=Bntnk``ledT6kim?yhaf7qfc1i}6V^Ys>;y z;bF=e59%}nb(-uQ{;y8M#}i?7W?EP3G(b((tm@=GaGb2V52mC9lhf`4rJFs8O*{4t zYY92_lef*ssi|0n)(}BhO~3IIadfRVdaq5UEa7yOg9v21x{E)*irf~}t zIJwUAmOj(q_4+id)k&ZBc($_sQh!-B1x7Ej@yD6j(Jx066*xN|adYL-a%Hk)FJhd$ z{@acacoLS5tPJ$Xl=7qmX2I-%lP`Ds&4+0*+56X<7QQFs{soJZpkx35 literal 0 HcmV?d00001 diff --git a/isim/temp/twocomplementtest.vdb b/isim/temp/twocomplementtest.vdb new file mode 100644 index 0000000000000000000000000000000000000000..b807860895cea081a9d80090f109a93a96862e24 GIT binary patch literal 5025 zcmb7IO>7%Q6y7u`Z2&hv6l4WN0*KP4g(5}#h{~0t*1>ktq*R=ckgT|(mW#M>0tqpe zjpDX;azcm}iLQ-M+XxZ$z<~oYhzke{P^`gZ{uF-!?@OJ)n=kr@hfBK zH2rF`xmvANSJrCvQvGuC=5+Jd;`H0`*F3Lv7cv8<^0_O!;5ZXHj@2*E#2VFddGv|B z`{MEV?pS4Y)l|;Js(vL_t<}%Pb|P#WE(#cJ3K9!1nS=v=otjnIExR=x}|u zLuX4n@f*N7k((}%Eg8M9=8LZN{Ta6FxCWv|4s?SFUUExCokGJi3e&n#xYU42G~T(6 zNNDHspo*6H&}Jz}`Pdf*1*9ZgZF)C zRZTXCv(J{Icbw?51L?=Zm_eQqwCu-lg{OhuyoGGsNYu&h z@ls-Ky_z_CwoK~+{%1d}Z3!Rz99nPvOzmZAK&!@7~uYUD$ z^1_7=&v(ngf>W3yDu1{!+5CyyU#|a-ssUr}0N1_~YF3hyT$$rA6gVgPA;T#i|J_pBQ6MJ5Sx>%UO?=V zXc-I0YhJqK8gxQApuC1?rx*pcolYKCy=O6#)*b|K3OW2xs0C2E^GHy`OPo2Qheve@^?#&@Rid1ly zD1n2A*O%7Q&^fhGi~_?gu+2qsHD6Q?goj6lZv&qNjGuz`WawLYNEwaNquYhsD<57@x~F9M#AuY>t$fEvg9R6V`=X4*>u!+4y#@hph4sY*EfWqf|EDTXt18PF#H{QW1wBl{{ejXO2M-&m_Y*ep(SpX7FbycY0X75qi@Av1Tpra z^)fs=XbISdmbeAgYFP0@TIpzJ%Lz9FT4L-)D-#W7kbr$?iJPw0<|1aJnbEgmFoGC+ z(VB;6N3{g(LrdHOTG&_EUz@ZRqM0ox+ze=mu@|jl(O?D%*oT(52`#(l!$n+-W=7wN z!3bjPMQaJ39n})B4=r)Cv{)>j#*o(WXlBa^Hv?K?>_zL9XfT5W>_bc3f@;~vLt~TH ziD+i@tr(0T#%{EnTiEb<#LC@u2WxtQuEWQt1lGE#UDh`cQ7YJzEA`Y z(DpABKF`G-?4sZa6`VP;mA7<8lP%vA$yRWq^nPq5hHOQTvK5@;QKH{d zB$Jhku5>c3mKGt1D;E;oYQcpoTWc5Xy1uOossG>ozVmtJOUBRxckX$g|9$3u>3?&i z^X@|7qk`!AJ0qRlmmYrY1VRTtqiKV``Q^7?j1|`Ig)geDELEH3rAniM@ZM~7akjd0 zw)yhG^#eC5CuUwL6xMHx9+7c=@xpc-`s6TPTCL8{_wL(2HZ(LeP^#4Hp;9YV8DM}){H#)LlZeT`M`V{s)UY8EmLeloKEw$iFr#=TF)3oWSyVk4$Pu|B*FSo zKP{OkMu#)QsfRksyXP+P z^rpWeJ?Vc;ed(E_)51?K8ie@={ajY-$QyCGg2yw8qPHme15W4p;Pkd)B=P#~if`R* zQpBVWKUb~+r*Xc&yvy&$%Thk(s+J@#&aQ^+N=X&H z*%cy(NI$C>JK&}o^>74hQf98)w0h(DdU&&1c++b9?23aF!(Im!UOno~s|hon_j*cR z1F08Bv)Vkrva~!~u6bdX43UTkvwKSbKMl|?HRd0?+BHIw;*$&h_xM^7F`e<}-? zver1mfiBWtVn`pH(Wa;NOKSYY)lR~apj)yNXt)^AY^AomP}bJ7X#i10PJ`;Hlu>ZX zY(%8gg_C&`T^udmP~=Ieha|Qnk&sOnV}Xca(#@Iex=@`93*~0zV)b@TBqC33qAynK zJ{mC}iL3%yZNOII&*u*J@MdAs;i8sB2gk=G#GP|W@6fVrc|)~U5358&w=_fScp!C& z-=@}yPBxZ`%?O`SaLSgY+UX2!oeKT&4^);m?--B1?KN+VcmQa|K7rOBuk{BCn*cN= zQMKRGy?{VUGq#|YEp8N+14n-#n_d{l6Ovc9-SVe%B(cZag+m!{7ntlu*z9x;Xn1xy z4F|eNJJLl78i5&YnVY|9h@j?lTJ0n((eG3+foA*P)44~HB+Do$(v&u7O;U0?%>d3~ zPw~1UPfER4VoMSU*>o`$h>r7R&bi~56Jtp3r%m)7oKC9^*gHR+79HFuVkU5&b4u^f zvTS)n+tayMvo)%=M=dR}zE4%)GYTqc%9f?#bef^9)2ME5ZH=~$oX%{o`799+0Bs`< zZc9$*gF?0epeZpl|Bxqy5LDZYE$DIZgfnr!ror^+PAdVs1|Gu98{2e3$Wtio@PNEW zm*mY};Tv8+hSySaq_SrSS;_RFYTL^O9YI`ROtR^N<9lVFo|-v2@y35&A>7WyO*_AL z9nT92dQ?tcpJ)}U_-+%_gUMb$sL9Q;fywm2@mpcC7-}1@-4@9(nLbr-ipkzs_<)IP zJ1D2=ncxjC3T_~CU{%xi0b{h+n6xn;8!b3}+k6%n^CdSLUrDQq?Mrj1ODH%cJ2w0K zmD*$FC!7-_W2P;WPk^n?Ck_nDZB9(B@!b88O|9t%6LtJVQi_a#tv|XkXQm8JX|UtL zHV+U0+ae9N$v(jb*yaRm?UvKM;@J-1o=@XQ%LL&ojF38+} zCI`o3OI`>(W diff --git a/isim/temp/zerocheck.vdb b/isim/temp/zerocheck.vdb deleted file mode 100644 index 12d3abf87a01bf5165ec04c24cb41fb64951f008..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4605 zcma)=U5Hgx6vyx6w|OTu%PFeS3{i+uF#8b0K}Y6_IZ!j&EPSbV@2gCD_*s=d@V7qu>dN}U%wqUOdfq)=J-_vMrB-3`&Ukfl z{KRzi!W+eVKlt&>;`^@@3RnLTt(S6Q@=`Ab%Ve;*I9;8XSh{B2`o6xt6~(@dD~ieZ z#g)bC@e`B9c`}}(2h#d8@F@*A{BnH9D|scwnqt`MQ4e!rCuhw8>oQ zN~O|Zuf|J_T5a3V;Es_!+jfnWH-G#2M+fd1*(rtg^WhBwdI9D<*2Oup1$u@B0((H@ zK=MI?l%fSVc8`v{<}o*EiDImbQ&Ur?ZBx@qPDe|EJxP;kYbWNgyub-4a5nha(t}#` zw`Q<$*UxC)?p4QY)Bo|}6j)0)s4y~GI#3?WxsS_(=`xbXRk4LOWkZUQL-;|@O<;)_ zZU_OlhYT=UeqC~6e4pDglDW6Tx!CqQ>8|PNX;-ql>jZdCUW`VC;)x)Od=lh7GlE2U zul!r@n*`}z?hD@D54seBkD0k4SOx_1N#KF3DqxRM9IHYW1zcI%A>!ISu5=7j1&gni z^%9LCCo{;&6C)HW!@Mg8JFaL+af%v=L(AAbRN6VTXW-!8l4oa_O-YrKV$Z-3=VyY5 z$n#;vA+N+?cVoi`M~21*x5HmK`^n6iE2rNZHZaLx{fI3*tA`j%H4+2e>{YQ!R_$K1 zSB1Tn$(yyP#G}c@bJbeKY+tRCrc#=2b+JM6cKRjH1`&T3|A(GG^{|uQG`<-!jqk6L z;e-CckUeye{os?J2jX<~SoQqLiW7Pys7W}a$Z1$7G!^D@!+Tz9=n^!Oo&+Ah(UGyC z(xLy_GOY$!qrvX}z(@ah3D|z3fZ#M9;y_2lJgFz@GL6=fpZ9}|H2Kh)HeyKg%ZiZ% zJ(qjDa_q#>t*SqtB~C7<4Kj8GQ2~?V(@FF7m)otZ=GN3#dHcZrY&^9;c6>+fYqi~%+wSXT`#^3> zy_OE{891cir56Uu<@8OAhjf!E)xC&n|cOds@4HH2333 z(>|kh&7aYV5;y7bV84Wtf|4L~d&-)X3+W+QtdS)iSes`VwbVzcvt+}ZLwI=lAl%=yaUs^y?CKU=G`9hc7hBLo(?^;w=tJ6j(ca*bm{ zHn=umvOEd=Y?$l=CiCr>EN4Bay&Z$ey(HD^hufC~`5lw&Mq=l?b7#wM>zuv=wTh}O zEmH!dlmub3C39;`dm2G9>F997vpNSTBQQA%z-6{8zb^O2|>{{lQbF>M*@!{ zBj7jTSMGgyR-WLA%_u|3dX=t!Qp7*Ni!UUQ)R^81 z1`qnuAf-VF-t-{qS#O@S*81z@LDaM8_if%hCTTP&KZ{w-J)CdHn3~RB-3?tU2hS}@sl~nm zJ1hC%VX4{cZB)e4IVg0$!_Rw+K&O+S6(Y_cidv$mk13m;JIcZ_w07iZxoZs{(X_QC>zdbuOZTz&lTDp1j#pZ33 zr-V==xHD6DK1B;=-R>}*qp0QnoA*|tn7;-PPXYscag>n`mnU9?hEpAY18S}3j6D!y2a>Ub-AgGl-dQvHS3jix4EM*x(_ST z>O~ jT%{PtA5(M7yhxJCBkP%rTb{~`9+6&Bna)4|c!=L0VtHL(T~51W+xGSA*RSiEJaZQ_ zo$Q)^cjk0gJ5rXRQBcHUYpr+V{eY#9wu#v)LkCCt2Z#H|Mkl|UoBFu-t0M|wiRE&+ zxDx$^g=)1>DjuvHE)0&1?*0DD&rYnW48Va!eR73>Znb_)n|#zYrj4k>D1z~(5MK`? zC^kY=sjo$3V_2(dR!kK$iH;1_-f*2(Wr;kFwJzGOV8A_D4xK&yv|omu3;59|vS%f4 znRR05Vt;_|5?V{>J&QeG&ZZJ#;&y!#M-m_2SB%$+f26BL!T=_v~jEw zWMc`^Q=|J`D>tU<5Y7g)l6755KD^vDY}xf_jHYmI%5 zS$l3I>sFt|9Ud+gO6PFCfgdtzDKIEw1T?zk|nc6NTIZ=A~ReVh8$ z{9iWW8st~Q+i%c+NBaZqPqe?#D6gAc;rJTtHm}?8O+ST8A>IQ}Qsd`Fj!&MNnd-yi zO~t~N5JdEIOd$vh5{UdwL9`nV!Gmx`0+GKdh_=OokdmW*Ir29J(JomKaw-t{b3t_1 zub4ycaH>_xZ)52H4ksO-U4)FvO*?4T>Q z#~@}8_Sf)o-Yq_=`9W6nRuld9_{@|$_D<1B;^k&E9!5*OKAI1f!AfzYe7rDJsvItb z;}3YuAUENbk*#}?y8}I0ic*KfmmC0oO~&cKA$?&N3Ew zXEMeXkI&3qCQ~{y=Xg<=*u3k@#*t7nNsW=Kb9JronIvkT381-SRmWA>`(eh~8o@Aja=US+ zMh_1$5AV6vNpjM}sJc%v2`k3@jgt^i#@vb(;41Fv_{mp%UkHY=6ILu|YV>9mE8bR2 zFm8DtdfJ&kI$ADOMvfQ8M$2R27;Y!1?}j#f2Nt9a*BrDt*(n919yHSTAbJ%DwRUP{ z#H`7J?kNY{Y&jiuwaq3_0qzWtjLe42_tT#Nz9m`9EL28+}Tgjg6m zNsTj)nuigYp+?3iHFBCNNKGjQBx95sIRlQ%F(4W9sFB|kMQR2^ER3C`#+gUWBZ$mU zBV&{rIZYL$W+(>1E51OAQ|(hk>AivY7U237&}RgGmjd4U}ve3F-nb`rV3K? zXbeckC^d2hK##?MWXz*RenT^?AeLJZc_CWaiY!7^OzeU`0n`Kr%+Dk<%=P z96u2Qk};1O`At!z=E)EXV<)L`=27z$A~V#;7^OzeU`0>IfMkqPBd1vosd**_Bx4>m z@*6BtgP+_QSBJ5a)Hw5~c@B{oYGjO3Bd4i?D|$W#Bx95sIRl_$F(4W9sFB}bk(w7m zER3C`#u=l=ht)eSz|q7BDClY9uVJ0&MIn1Uaq2dP_0p6wnk1u4zlRXzt0Rdi5fX6Q_;>jMxLyjYB3wlcyKeAFJ%ic73Ymm&38z#%1 zn+&GwpX0!AM~Yu8Ozy>)6}6$24;9DwIljx-Pa56*HjlW}*qA#y{1LL43DBeie>S4+ M;vkT<`0s%K0Cfl51poj5 diff --git a/isim/work/twocomplement.vdb b/isim/work/twocomplement.vdb new file mode 100644 index 0000000000000000000000000000000000000000..c7a5df62ed0129eede2f5494e6c0c65152acafb9 GIT binary patch literal 4613 zcmb7|U1%It6vro~YSkvqrcpy|jUT0?qE_p-HL{_NBxx}qJ_$+?;dI`Jb;l_uidJ zC%=SYi0c z*!bZ1@#0sXzkmA2uYY>#g&;U}S+qldq0tld2`Dpu{Gqz}!q8B|-EG^Oo12^J@)Hwb zex$B2m#-^~jgQt5J4(LFOSNvkOJT3k9NkcHZoODfuA`^7W1v5O_So=;+fF}c5W;kK zcX!r{pIDfi%XW3{>3cTYGtmF&w_h$E*x2`s3~K7+1p-<^<_xp>IlAjLdQ}8?MqEhD zq=?8PY^jV_!%!=j>FMc7!^|lYIx?+U>M{k(YF_liI4JL%#PNpTHQ0V+q0oN#aDFJ7 zX4+eKHMh64G;OK@F1jSBdE*7OX%K0HI6BsR|0~_uy?Z-*kQYCl&d$tCzE>#*%K~lH zz?V3zpv4*JeYLM^pmR5ImS;aJO)XCz?X|=)j;X1hh~*U($z%H=t{ZkiAa>lflHp=e zFXyGFj_+eEoHcz*wfNYWztf*{8Z~Jn!1ExUlouQY1i#xXBe#X{xohll02mo4;!dG6;;x_) zvR@~Ej!d?529B(4inA$>L-yW1o&E6HcPE#kV3OIP=fodyImtabI3Ff=3>Q(XY)F*; zg#4nE?1PIMWNlIeDrS%O@9xOj{${>(^qp*_Jw7AbWAbeg$CrDtzrVYyFSkEi>|(aG zG(A=NWHDQu(j+3`N(gjL4b|um*@&R-llbT&`cndO=!~i3&Dro~R*}{GHI6~OFUkFO zapZ)2f#iYMH#BNsat#;W4u{)|`Gvye)D(|2Rq5ch&9K>S?lqZWBiHef0EK}%Yzbi_&20^vt6*d=PeW)ZiJCDg0afnC1 zRU91~Y3$=U*yhI{)Zd6?S#u^pzah_U;>g?%$L8n5L4=2Q?rg}|eQ<_oDXN#wPLJhA z7tuxu)E2tJDy^=!uM^&m59NI1O4BqV^bLv~I-3?W7|f5154Y=G#VV$dyg>Jel{vYhk^!FUh`P953QG;&G(sp5SVC)jdR?A4!O^A24XQ6%cj zIVC60z}TRJLUIO7A3C|($u?}fjiZyjMeBH*#%2xloZ$P!DI_5dktstblgNHncx}PS z+oNvCU`E*^5S3fNYQZ-M>wmZG2B?_8j2w9nDBE+KUv{ZpCd&K6!<1zn)M*ClG}$}+ zU!8_e7Q*Tb8dvKyKv~v|>f||aove8drlbUuD?JBFH+vde>Do7}CFI&qKQHC)PakQ?|4=<0s$zfU(lGVsee98a&3 zf3>4+SQ>)-kG9!XEy^Vjag12)dF5*sr;da|r)0hD=fVJhA8{DwG;V=HCs+BsrO!(6 zYJD2k>ZDKmdA73tQhymW1x7Ej$;X-5(XYe{6*@Z~ar1?dLUAH*FJj!h{@acacoLS5 ztPJ$Xl=8F$R>AC{ldtsn&4*<%+51;p7Q828{R_s(oi6|Y literal 0 HcmV?d00001 diff --git a/isim/work/twocomplementtest.vdb b/isim/work/twocomplementtest.vdb new file mode 100644 index 0000000000000000000000000000000000000000..927e7aee934ec680264cbc48151d1cd65d50fc60 GIT binary patch literal 5020 zcmb7IO>7%Q7~M1}Z2&hv6l4WN0*KP4g(5}#h{~0t)*(*Pq*R=ckgT|(k&C!+0tvB} zjpDX(azcm}iLQ-M+X!Lxz<~n_+z|A}iT<35xBvz2sJu5jZ!=!oF?`bQeDl7adGpQ8 zde_5mj`rT;c^5s%?RQ6ecm8ng)a&f@@HS>I>UX~R@#}qF<6i20xaRV?lS63hL8V?_ zt*lg**H)^<>gD>)@%pcY@wbz&dEUld$ON29=dSF6<3!{*RJ}Zrs8vd(!6)|aOD2=M z6Xn%azkDW93CfAeO7&b~C&ISjqJT`JH*8J+5K_}dtW*!^I+LHAS(q#=m9Kra{P~{m zPRNB+v)OEB7uqZ9L6Dx#9L>$AX9`Qt|MczEQvo6D~qg8Mf=Vdg4Y7bb|?AvP(ssLc=o-=IXwKs|HNs;;rk5gk~-eI?Bn=JMV?d$Vr;Eq13E**qgAt6-pu%jwTMBI6LN;zB>SXtLF}1c{Nu51g zE}8C7YV=StH8QgMfgT(64^mO(CEm|MXQp9`vnq>kWYe>=nHlO=zxp_R;lhXK+vQ-v zDa;X1Gs+keNY0b}j}cYQ~kSt%#EGRI*k{f^yt^g;OKbA{>b>CGnwIWsoz z_`T=oJ7A+6gp-ShC}I)M8c4`ZbrQz>oZG9Go9w>h+l`xI$U%CXoA7Ad7&mQPtVOg} z$vvOToSvM@9&vT$;BMm6;x}A-5toDk>i^hH*Wt~`hixQB>9%{mw=s{{7@o%uiq+*} zq!D>Huy#Emw1k#JmNmef)_DQsi1?1H)U9t^2 zksNTm`e>*44%l=$d0gE+i){Kko4H8jNzQ}9*95Vk%l{WhZ82R0?`jCqV%V*M6?p1Cf!Hm*Yv3D%{$_IEST$^R|3= zJ!zkk<&)8O^mgStJ{T^z{LMQu60h4q4tE*^xDnPD6EsXXz|vB7I+tHe7nZVxc;5uz zhTQ+D%HvLzH3l&w`=y|VNqF3zN6=~m7$@HWV~-#`V?f6H#BpNo-MQlcA+J= zuv#@EK}2ghp4o81%?B+RJJHIjS zb&DE4k65|8wtQ@f@iUbSRaLgARD|(yh(8o;gN_l`qYua&{`ydBUA&erJr3tPsrhNu z@?Qy~`HTNIh+Z8jWM)t`S~{IJ2)3Tdn{AnM*-hC