From 019e9a5cd83df0177d3dd6d01b43ad4a9e505d7f Mon Sep 17 00:00:00 2001 From: Giulio Date: Tue, 27 Aug 2019 11:50:27 +0200 Subject: [PATCH] Aggiunto modulo Comparator --- Comparator.vhd | 34 ++ ComparatorTest.vhd | 65 +++ ComparatorTest_isim_beh.exe | Bin 0 -> 21792 bytes ComparatorTest_isim_beh.wdb | Bin 0 -> 5748 bytes IEEE754Adder.xise | 60 +-- SpecialCasesTest_isim_beh.wdb | Bin 107887 -> 0 bytes equalCheck.vhd | 2 +- fuse.log | 39 +- fuseRelaunch.cmd | 2 +- isim.log | 94 ++++- .../ComparatorTest_isim_beh.exe | Bin 0 -> 49847 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 4458 bytes .../isimcrash.log | 0 .../isimkernel.log | 28 ++ .../ComparatorTest_isim_beh.exe.sim/netId.dat | Bin 0 -> 60 bytes .../tmp_save/_1 | Bin 0 -> 1872 bytes .../work/ComparatorTest_isim_beh.exe_main.c | 40 ++ .../ComparatorTest_isim_beh.exe_main.lin64.o | Bin 0 -> 2776 bytes .../work/a_0883098610_0495709306.c | 314 +++++++++++++++ .../work/a_0883098610_0495709306.didat | Bin 0 -> 3968 bytes .../work/a_0883098610_0495709306.lin64.o | Bin 0 -> 5752 bytes .../work/a_1038528572_2372691052.c | 157 ++++++++ .../work/a_1038528572_2372691052.didat | Bin 0 -> 3676 bytes .../work/a_1038528572_2372691052.lin64.o | Bin 0 -> 3800 bytes isim/isim_usage_statistics.html | 12 +- .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 3803 bytes isim/pr_isim_beh.exe.sim/isimcrash.log | 0 isim/pr_isim_beh.exe.sim/isimkernel.log | 28 ++ isim/pr_isim_beh.exe.sim/netId.dat | Bin 0 -> 116 bytes isim/pr_isim_beh.exe.sim/pr_isim_beh.exe | Bin 0 -> 49574 bytes isim/pr_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 1938 bytes .../work/a_2734820196_0181651160.c | 325 +++++++++++++++ .../work/a_2734820196_0181651160.didat | Bin 0 -> 4348 bytes .../work/a_2734820196_0181651160.lin64.o | Bin 0 -> 5680 bytes .../work/pr_isim_beh.exe_main.c | 39 ++ .../work/pr_isim_beh.exe_main.lin64.o | Bin 0 -> 2664 bytes isim/precompiled.exe.sim/ieee/p_2592010699.c | 24 +- .../ieee/p_2592010699.didat | Bin 5868 -> 5884 bytes .../ieee/p_2592010699.lin64.o | Bin 47352 -> 47352 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 0 -> 4821 bytes isim/tb_isim_beh.exe.sim/isimcrash.log | 0 isim/tb_isim_beh.exe.sim/isimkernel.log | 28 ++ isim/tb_isim_beh.exe.sim/netId.dat | Bin 0 -> 92 bytes isim/tb_isim_beh.exe.sim/tb_isim_beh.exe | Bin 0 -> 50173 bytes isim/tb_isim_beh.exe.sim/tmp_save/_1 | Bin 0 -> 2420 bytes .../work/a_3230118638_0181651160.c | 374 ++++++++++++++++++ .../work/a_3230118638_0181651160.didat | Bin 0 -> 4512 bytes .../work/a_3230118638_0181651160.lin64.o | Bin 0 -> 6312 bytes .../work/a_3671711236_2372691052.c | 157 ++++++++ .../work/a_3671711236_2372691052.didat | Bin 0 -> 4052 bytes .../work/a_3671711236_2372691052.lin64.o | Bin 0 -> 3784 bytes .../work/tb_isim_beh.exe_main.c | 40 ++ .../work/tb_isim_beh.exe_main.lin64.o | Bin 0 -> 2760 bytes isim/temp/comparator.vdb | Bin 0 -> 4233 bytes isim/temp/comparatortest.vdb | Bin 0 -> 3910 bytes isim/temp/pr.vdb | Bin 0 -> 4733 bytes isim/temp/tb.vdb | Bin 0 -> 4802 bytes isim/work/comparator.vdb | Bin 0 -> 4228 bytes isim/work/comparatortest.vdb | Bin 0 -> 3905 bytes isim/work/equalcheck.vdb | Bin 3192 -> 0 bytes isim/work/nancheck.vdb | Bin 4232 -> 0 bytes isim/work/specialcasescheck.vdb | Bin 3423 -> 0 bytes isim/work/specialcasestest.vdb | Bin 13428 -> 0 bytes isim/work/typecheck.vdb | Bin 4762 -> 0 bytes isim/work/zerocheck.vdb | Bin 4600 -> 0 bytes pr.vhd | 38 ++ pr_isim_beh.exe | Bin 0 -> 21792 bytes tb.vhd | 101 +++++ tb_isim_beh.exe | Bin 0 -> 21792 bytes tb_isim_beh.wdb | Bin 0 -> 6159 bytes xilinxsim.ini | 2 +- 71 files changed, 1927 insertions(+), 76 deletions(-) create mode 100644 Comparator.vhd create mode 100644 ComparatorTest.vhd create mode 100644 ComparatorTest_isim_beh.exe create mode 100644 ComparatorTest_isim_beh.wdb delete mode 100644 SpecialCasesTest_isim_beh.wdb create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/ComparatorTest_isim_beh.exe create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/isimcrash.log create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/isimkernel.log create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/netId.dat create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/tmp_save/_1 create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/ComparatorTest_isim_beh.exe_main.c create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/ComparatorTest_isim_beh.exe_main.lin64.o create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.c create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.didat create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.lin64.o create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.c create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.didat create mode 100644 isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.lin64.o create mode 100644 isim/pr_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100644 isim/pr_isim_beh.exe.sim/isimcrash.log create mode 100644 isim/pr_isim_beh.exe.sim/isimkernel.log create mode 100644 isim/pr_isim_beh.exe.sim/netId.dat create mode 100644 isim/pr_isim_beh.exe.sim/pr_isim_beh.exe create mode 100644 isim/pr_isim_beh.exe.sim/tmp_save/_1 create mode 100644 isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.c create mode 100644 isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.didat create mode 100644 isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.lin64.o create mode 100644 isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.c create mode 100644 isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.lin64.o create mode 100644 isim/tb_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg create mode 100644 isim/tb_isim_beh.exe.sim/isimcrash.log create mode 100644 isim/tb_isim_beh.exe.sim/isimkernel.log create mode 100644 isim/tb_isim_beh.exe.sim/netId.dat create mode 100644 isim/tb_isim_beh.exe.sim/tb_isim_beh.exe create mode 100644 isim/tb_isim_beh.exe.sim/tmp_save/_1 create mode 100644 isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.c create mode 100644 isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.didat create mode 100644 isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.lin64.o create mode 100644 isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.c create mode 100644 isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.didat create mode 100644 isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.lin64.o create mode 100644 isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.c create mode 100644 isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.lin64.o create mode 100644 isim/temp/comparator.vdb create mode 100644 isim/temp/comparatortest.vdb create mode 100644 isim/temp/pr.vdb create mode 100644 isim/temp/tb.vdb create mode 100644 isim/work/comparator.vdb create mode 100644 isim/work/comparatortest.vdb delete mode 100644 isim/work/equalcheck.vdb delete mode 100644 isim/work/nancheck.vdb delete mode 100644 isim/work/specialcasescheck.vdb delete mode 100644 isim/work/specialcasestest.vdb delete mode 100644 isim/work/typecheck.vdb delete mode 100644 isim/work/zerocheck.vdb create mode 100644 pr.vhd create mode 100644 pr_isim_beh.exe create mode 100644 tb.vhd create mode 100644 tb_isim_beh.exe create mode 100644 tb_isim_beh.wdb diff --git a/Comparator.vhd b/Comparator.vhd new file mode 100644 index 0000000..37e3688 --- /dev/null +++ b/Comparator.vhd @@ -0,0 +1,34 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + + +entity Comparator is + generic( BITCOUNT: integer := 8 ); + port( + xT, yT: in std_logic_vector((BITCOUNT-1) downto 0); + needSwap: out std_logic + ); +end Comparator; + +architecture ComparatorArch of Comparator is + signal xGTy: std_logic_vector((BITCOUNT-1) downto 0); + signal yGTx: std_logic_vector((BITCOUNT-1) downto 0); +begin + xGTy <= xT and (not yT); + yGTx <= (not xT) and yT; + + needSwap_compute: process (xGTy, yGTx) + variable SW: std_logic; + variable K: std_logic; + begin + SW := '0'; + K := '1'; + for i in (BITCOUNT-1) downto 0 loop + SW := SW or ((not(xGTy(i)) and yGTx(i)) and K); + K := K and (not(xGTy(i) and not(yGTx(i)))); + end loop; + needSwap <= SW; + end process; + +end ComparatorArch; + diff --git a/ComparatorTest.vhd b/ComparatorTest.vhd new file mode 100644 index 0000000..78185cb --- /dev/null +++ b/ComparatorTest.vhd @@ -0,0 +1,65 @@ +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + + +ENTITY ComparatorTest IS +END ComparatorTest; + +ARCHITECTURE behavior OF ComparatorTest IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT Comparator + PORT( + xT : IN std_logic_vector(7 downto 0); + yT : IN std_logic_vector(7 downto 0); + needSwap : OUT std_logic + ); + END COMPONENT; + + + --Inputs + signal xT : std_logic_vector(7 downto 0) := "11111111"; + signal yT : std_logic_vector(7 downto 0) := "11111111"; + + --Outputs + signal needSwap : std_logic; + -- No clocks detected in port list. Replace clock below with + -- appropriate port name + signal clock: std_logic; + + constant clock_period : time := 10 ns; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: Comparator PORT MAP ( + xT => xT, + yT => yT, + needSwap => needSwap + ); + + -- Clock process definitions + clock_process :process + begin + clock <= '0'; + wait for clock_period/2; + clock <= '1'; + wait for clock_period/2; + end process; + + + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 100 ns. + wait for 100 ns; + + wait for clock_period*10; + + -- insert stimulus here + + wait; + end process; + +END; diff --git a/ComparatorTest_isim_beh.exe b/ComparatorTest_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..11ae4f70553f0c5d3994a18a93c772b43d96d96f GIT binary patch literal 21792 zcmeHPe|%KMwV(WgltMNjXatliE^QFAWFcUJ3GT8RxN8@T5G?x8-DR^$R+HVhKOk5> z8k$78T^ITI{8(S#r?0&J(7wL*mG)T#YB3O!6zvbCYHj_6zwe4DR?9<*?)#p(Gs$Iz zzJ4D5XC|L}&zv*ooH=vm%-or|cXLO*cWHiJ9+N4bEoOu%We!d2MR14&3 z5?)SnWb1Pdjsp65W*C0apsSN)O$sNFc_dft(hqqfmCU9@j{z5^KH z4gyT!_Yuf_0b0Wwi6+W#y2@bKbd`f=B&;>aOhPGlE#%0~e>6!u=eC8{Ev%Z`)>P3J zjwCxPI;$5}EUdD{qqce6{!%EUCN5jCnyZ%n_=2ct7T^+G3a$ybWO9hi<$%O98`qV% zzKn~K#Q^LIz-nBTxW?gHgv*JG+BXjux#2uqlqTV#_RhpL7uO72lxlEYjB7C=NH$z{ zp8Lmcr^nOjGP0eLIvJTM0vRhH`G^wvhJ00qYa%X6({WvZ>movsq~E6^Z^bp9h)6UZ zD9yq3C7v_l?n2}*#dSU|3egH&m*AR@YXPn*TqU?_vu>uSI zZvSa)bI_29&^%VeAN38T0??@+Wcseid=t=2m;GDE^^`H?dcVPW(g61g`iEYFF5~zK z6r1%-6XWtZUhjC;aG8TEAYW5@4)#xi7v+3qP#M#?{tH-_XcthPsvtO#dgxleK@c56 z{h%0>)9&QQGtvJObhDjziwc4{bSe8`4t<(v@VzZ!@!O!WkUycj@z8wB>@IS>#zK9b! zmi9v0T<^C;y>mE!89OHQ%ki*L(3Ryzy?wwb^$YzD;Rk8YA(WZ*|BZ7qb|8nI5%fdQ zQ+kFte_84m_S5`BXpAgB@nyCrC=6XK_z&mU{~gfJXkZINd09F9#6!A9UEDwGn~LJ6kN}mqaC3L13eaOjj@hoB2GwCvV%Y(dJAiA2^q9D z@U@59ZkhVl;9ydf4&guovQM-t7jy%Q}@ z0Fp_<3+;h03^2qx!)?A*$#wCKa7g=#Kzj%+Te08@-!kttOPpSxZ-vih^R0_U6K&Bz zQz&N2+JYS&zDkjN&Z?!ASIk>F-(IsJ8oR|Ej)j7WXl&z>WOH*UR)3C47X)H0!KKh* zv?3T!`kKR$@HuL=S0>}3#&CNmnoOLlh4va46liM*BwCk7W1e`py)oEU&#sQHi~ClE z+mmepc*ED|@-Bz(eQR8+8UrooYX1E7;n0Sq;kJ+~nv9?Yyep&4i4B2R=sHAeI2t*d z0p7sIQ0%kl?RgZ;WCJNXS0~oU2F0Pta}A=k3C=<%HKFI50*QbTzr3NYXvfA_xTO`L zeNOR8p|u{Nb(Wr5mE%uS1R?;>wQ{??E!r~Ty|dXMhi5||cuSy#yZWs5N?xOLee2?J z;k0velYL$y*tRZ^2)2^B=i1Q=B2hH_T(#J%S_ARb@lfoVjs#+zf+iGc;?eQh3^4nK zrgbB|b8Zf-3CEWu!^@*hk5WE%jYbLG4Gx3b;E^;c zk=L1YNgZa{LLnpTB01WN(_Xg{*B^d*3sz8ttO@H4q9tbEi*fjof1sUh47_ z;B?xT%T(s_^DOD1J)ca9%g?h!pU!i}t=#438KPgxbH=UG<>wiqm-C!)t9JQ$hUjy7 z&bT>Tex4yZ`Bf&><>y(Vo6j;DO!O-_hjCkDqSJYZOn#T2XGxFTlMtcRaGy z7MGuAshhCX8BW_=ex4_~SekPD0hgacqKmHO_;#0{L!z0_Cw^$6izO)M+hL;1n4yAQ zCb~RRA$p&QejX7-`nAi?b5!qSo-=Mwy8Jvtba{S5gy&p-0^IhgJZIbvyZk&u{B*V? zlkW2KEYaop6A_NN`~{QOze+fCuuLDv|4cZA zYGwz=4-rlwn%U0r#|g(=#WLGCzMpU!4w){F?;(6F;T;_RIpMTx%J@0{Bf>Fvu}lNU zzf1Ty!W|s{7U4AYWGXp+FX1%hWE75ngYXH2mva2;gwv47Fpl3wI1Po&u}?sl6(gL6 zK;|&VZzh~VKl3EV*AYI6@IH>;KsXJ7%npvPB%DG&vz_Bt6HXzY*~alDgwt_irid~IMN!4CeyI()n(C8WJqZ2rFXdfL=s6#`vpVa>d#t*k` zqdrzsML)YtVQOz*B0u{i@8WId)K%xHQW#sUYHuV;k60noSFCD9Z_Ggu9D?u*$f&7O zwf9i+^}(Wxz?*llzqz@2n{jPht#;QfD~ASBaQ-fs$?F>b7<2lWN9#Z6%)WN8f22mT zDf|0GF}K7rgKdVXcp4phj1HK9>rZ@~&8n#>)5&NppR+YvX1EzM?t6*-F$%vU4^w

a6HrzL;S1r9MUCK5(+esQC~E3TeHKaf_Ib1e zmYx*(EL|5xWvaGs4~61hGEzTGOzFB13cT7Ydno3Of*};t_hxUOrKYA^?MKzrc~Gr_ z&EDtL-pUTB-TlS-elR&}-b=pX)!uxJ#)p3R6!gI|@QfIjxfy(LQ9n8{vls!drskv4 z1BiHgpZ@XRvRUqJeHDbaHE(l%bDpw~88t!nyF z>rw=)swJ#t_T%34_pJ_S(|W89P%V!XJq^ZYpl+c0FHwVjAr)qyxwaHff zA)*x&T2HsG)~at61?pq;9;bCfFskl_UA{m^*rsaL@auz^SyZiq+Z2zIP5OV35XNUG zitj?PbeQv3JPN)+#oCa5FCcnO)duyi8d-e?peJ2esP3MN(~g!(T6$~1W!7HJwO3h5+dHH!y`VrSZ#R@%dWuLhH83l>seoHiH#mW;_+$_7 zy2rpxN;UwZpT??tM*0cTrRIKGC3wJpT0dRMb$mi@7`q6n-_oxKCDV&}6a5xJb$TlZ z=pakaWtgiFAH-Bev~=AHlyh4!3FwtXOL?tjd*@Qer7l#pm-WA$5W@2@0jufOJhkRU zOV3~Nz*bWWuCppon6V#HXaC8Ve=R!;r*0{nr)Kx7HIFCX?>(eFY3aFyL?3`p2MfnA zT_cU@P3(($_J5Gm-lZ{Jju0MVG)P6a|1)u6M3?K=Qx1b2Ebk66+c1k!dJE@Epfrs^ zsEEm5uL2okrjDWjMRl9dP|R1$sYXHCZIBibY4ZV^qjpiRj7)u)my0%CiWa7({DcFz z#+_2sRE;P2f-`$S-S=97S};{FLtWjN*zim#fQSdYZcVXleJ`J}A-A=bw5ma5)KsIj z494hKew^A(Yanl`a6f-|SbA>NPRCx(&K1jI-Ez-F!9^*tbFFk#dshEpXu5(nY7*t z4mYu6_Yq}gVuod>d$OZ;=9a>matCSQRG=${EQ70lY)2y|D`;3epSlyZ7E z-Flq*e!`DXhK_P;m!y~HnQiTD#F#~UEj7Qumzj|1I<|gRb zyOyCJF_3=#SKfn`-be6+>blZq>4{-gi$OGz3%tmKZ*Yt)a|q?AeZ;NWZ`1YgyC*$) z>^95Je7GySzZU&xm^g{VKW$>aqR>o?p8S*}TFH{sgntKz;Rr30Sw{0kswhpg)Resd zUTr8<<_*4vwamWP3%mt0^-4o7j6#oAXw@#Ha82Q=aa*mHdoHBqNNU2>hKjENxKo#S zQSH%^(f3yzGFp<{isc6?`iCG+p-zqMEO+mZ#hU zOsumC%w!44ns%72@=si;FL~6HK1erU8nFPi9b4tM%V~!21Amjiu+;n8Nh* zhuQ3rUZ}hq>U1B9jnzyqax_i82#9{aidF-e-|%^VK>*4!D~$QoiAwbHVX+m+(X>>l zS3z;+1u&7l*O9%ng)!ENHeu_{AURVPz+6wt%k5lDb_V}~$x|DD%&A?Fc}r-RdYT4H z?{8?bFdSAwQA8>lxrz@irlyu=e!@F+!enUds$rJiwS2~&VCA0hTCwooWG&V|{tFr0 zXvKP?uyiK5s-NUBLzbc3s-|dz&$tLdWeae95R z{wVQj^`$W5ql*-_2TMY#C5aX7l&^rv`Ea4CtuEW6kZ7uC3R&M>%@UPRzMuS?UTe*B zb|1)BHSZK~RI9<~^%kzw{UYYC18RCQw+O;JPyxyMZ(oH1ta*P5LcJZ&{iPP)yQmHhw z_H2gkeVKp-1bp+}T3$mrj(O+5?3YstoXysy+3=gO=W_ zd2kjLp&hDLmbr@*q^8_I4H3Smd#FGSX4QRf73iV&Ku=Ggx8qpX^6)eQa~!9*iuFsW z%G8v#kW@8S=`IS)?nQaGFLClu1Ac`8i*TEeKshZHQh88P#PoMavF5&r0$L&2?tx-= zjdI7+(p&ouV+~O^g^Gp>X+S{zOZpGqg`=*c$9uZ&r=aQ&gJ4Wme?|^e`Y=NOw_)l5 zgZd)O)wc{&{|hnq-m)dH>iw3qR;(2sJl&QJ6v%4h@i+J{t< zZnNg=Pl4n?U)*&P&w(Xa=*@9!uX?hBThO6Lo<)0pJrzb{fr0~qA^m%#fM%9KcWIVo z(r`3&GJQ8iKreKK$Ugl`kkSV-S%u%(ES*FRKX!>g7-S0Re? zJ=&Pe$9x?-r3uA+C4dSoJID1LwAAn0l8G8{xod_x#2 zS?z{ka|@4_O=k%k?>@;T;mE{%8%X$3ThTY9{}{jk4nSB2*pP)DhUk18b8AEYdW0 zT{uFg3ZrS%1EU@o^}whHMm;dVZ)YjCx?y1EU_09%!)5 ztF$kytg+8$rfhgl!yJ1}%>sKRGi6D}_%wWxiAp~iU^tjFeqdtCiJzLtn$8S|e?*~_ z2WKl(X9WzJudE5<(~{216;C8+Q=DyW3jd`A&Vg{g5nGSbK&Z=`R&ZrCAe}Hb{VAJW z3;2D&1mMGfcLQ3V&t?w*KK*t!I~j+tuLE8VI2|-fdABvJWO<#XWB&D}@d}$Zer)kJ zlp5c@S}6lPRRrB7bnMK(iLC`J2i^Q#H1RazQb2Df4NFQ&@5!$(DO*yaEGa3!yC_|_ zwZL6cdFL2cNwvGg(M^ZnNF+yguK9B|%YRb_xn*361V}@)s--_@gf8UFfbe@Lv{__%|oG69WuVgdocI|`mUTz(FvZ`X*7><^)i z|7M*SOD8)xzod6gGH`kwMd<Mu%lB^$Zi=%{>3x4>W+$8yb zBXS#j|M?D1dQiZf0uBoJtblI{cwE3S7Z`Hq3-~1g7Yevk!0QBT7I3|QcM5pFfDa0| zQ@}w1pB3;;0gnqfrcBf?AaBIqxt+i(7ITv0$V@ZmXPS}G{HO;;JuvElQ4frIVAKPj z3lIG8a);4QxxQHDaxGHI@$aZ+D^)hTZJsi(va-7Jipnabd?j8_t9bLy=@knqXXD>i zoeQ`9Q}X{m%Ku@bE#6B1wjzL!D)2o;DAvJjcpo3K;kUsRi9idp;Wyf?%+|Cq65rTv zz(kDMS|Ul?dhtIB%!m(Vu~1up1VpZ*EkU~RV|!a7)QLO)*AKQ>l>dm@7Hai1$MDAA z*V+VGi7^}f`-wOzG{DV46hdd9Jsd>sQK-Sq#&sKd@Jo3J;nNiQ`r|W7w6CExqTDyI zdyRtr93}uL3ukav%LI2Ws0b{kOI_{q?{zhkul55^8)J}g9E=4IxWfi zC2=D%Brp9h|BseK$S)Fpl>Ca!2)G&0EHD2Lq5S`Zbe3dJx%J+f9ohjQfUXQn(k4Uo&9 zi~ksG6noNsx&N2{Px7#6zdY}e^3twfK-O%({J#nM5~Kbt1{l6ec?tLA$jkGe4woTv zcv#ZNNI41nK{nU#cl$Y`-)-V-ADSt@FNs!A+xU5_95d8Xb1N)+b2 zbMCu$_qUCoKi_q1)tWPV=N>=$>ztFuj5E+{@vkwTUYjTjtvqdI+l0ja?`0;tteMu4 zXfNDi%vHWxgR5tt^%>wRS$qX(yw>UJo*I9Y=tp!-BsYaIdvqsUBil`4XM0(;Nin+h zkHfipMxXg8a%<7|Z>;BSD~Zcm>zb&$n@efAMIo*iudw5ZlH|WqJWaexOqA7Pc5{5n z7k{t(r?mULZnU>#TQA#rZFQQq26r{_*IKNkRDN$uc+V7Lo>su>WZ|V}#Mdc~h9gyR zyOt>?c5<6|PBJuiO19Y>_EzbrczRUWN#N^Uuyl- z3u20m75m-XW|`U6p6w8LxwuEXES{ZwOQ_TnW*?5o333u2abDH@qhi*W9wU<8ST(jY z2RymWYawMao3!9o@e|^QEXM7NT+m@^spVWvN6ucHkL<4t{Em3)p`>Q4N&g%**Mj~* zX_?XZL&f;a#(7ZVvEsNIrX_JsDaL6rv{8(Dv3%GU_hqxp$o7*a{%qrH(s-;mH`_RA zNt|<<_?M0I=tbhNKCcCmJF;2IWVXu4J3w9B{Y!uN4s3XHyL9L=VR<9K(my2MZyI}t z#?TI7c|XA1h(Scp(pc_kXt%JuF<_H-^Fl25Am_9wEbkCl-X@5+=q_LG>Wuh=unQ$6 zM*6`Sc3AKqi!)*-FOmT3YE6IB*dH~{h}(qilmzSQOYPFwa~fyx3+qXObv>XSY3!dG zXT-CF%}Rphts5r>m&Q(%F(X@tusKPv^am_wE{)}0$jG)>*u|1y=?_@;n8t3g*fqi~ zkq<0yELirK#+KyF{xE%fzp!iMlQ7&FVA&%*MkfaK2D?w7F6m(DJAU@q3R@AVTRyP7 zk&rt(#Dux;iF-hx_0qxewiB0nxN`=UdV_sNpdRU9-MdOX(pb(PzUKsbSQ0FM7eM5I z9~*J`PB<6d`f#--@wGuO`Lj_I&EeV=c2`nJI8 zE+3@RrH}~An=~=OC>Dp4suX*c&!D^vah=SSQy!d@i?qX)^f| zSBt^Sug3vQ$IcGZu}hp4=fqEn9~W;HZxAo)Fb#Nqzh6|!vE~2GPtvv1hqAd*i)wsu zlz}YjF{&v&jDj{!Yz@r8Rv>G%DDu1j z)i9cT6jYO+;>baWbP!C_u-ES|>@Tb0Ly;MdOvU$0;ekTc6bFLhzT`Jw^=svzWTNt* zZ}x_!8k$OI>Y*77P3RZRV7X%YQ!R_nOrwLzu;z!sKs_o4l_YE9_14P+C2zp*D-?&r zaxUv_>s*}8EzhpXE%9p6-my<)p;EH3f|^x^Mzl&0SzB?xv>;Ej8|p!)$A_R^k4)ox zNvUgV#s22X4E06BX1FgJGQH)f7}P6~DOV!D&##%)an+W-In literal 0 HcmV?d00001 diff --git a/IEEE754Adder.xise b/IEEE754Adder.xise index 0391d9b..f953570 100644 --- a/IEEE754Adder.xise +++ b/IEEE754Adder.xise @@ -16,31 +16,41 @@ - - + + - - + + - - + + - - + + - - + + - + + + + + + + + + + + @@ -97,7 +107,7 @@ - + @@ -161,9 +171,9 @@ - - - + + + @@ -232,7 +242,7 @@ - + @@ -247,10 +257,10 @@ - - - - + + + + @@ -274,7 +284,7 @@ - + @@ -298,8 +308,8 @@ - - + + @@ -318,7 +328,7 @@ - + @@ -373,7 +383,7 @@ - + diff --git a/SpecialCasesTest_isim_beh.wdb b/SpecialCasesTest_isim_beh.wdb deleted file mode 100644 index 1ab10d0a9630836c4b63ee9ebc6c84068c6f8cbf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 107887 zcmeI54RBq>b;qw|L-L6SePQH+sgj16%aPjSF?NrWstTLM{9^q!1t zjP1720wIZ0l9o0=G!0Fd44E<$+PF>9(g{rrlSyF4ouMr$B&9Q?Lxz-kQcCI2|L@&h zz18)7&+n>?{IJdrN4tCWf6v`}_MEdH_dfH+b>I2@wy#{d@};eFzV_%(X8lbRO^ZnT zOMj#2?mcn+Kwq5y(A5|0jqC5e$7%4_qUg2hWjb(bx%~VGqNs6Rxem_S%la_=n0=Uk zl>Nct!_Y8AWq4r|A)MGx3P){rbk2S@T%C>BsED z{KLnD&=xE{SbStVo_X4-(+e~W+I(m00b38*deGLxwjY?#e#qv-@>*KuJkHdR;?)}z+bbmB{JcpScOb_8Xu-U`p*+c!#qtoqO zaGkvipXi);a8Yc}e7go&-SokJ&Fmr80cRPL@#-pk4~72stt_ugt#0}-dx-tvSoq@n zIq{qjo?YRS#*g)4dN4isGk^1o*cK*R5B0oPWN#AwHm2>uxNdyY1Ab!m5XTJDLw)F> zo?~e^&)S~A_Q+-r<@J{LhsoL_+WN)TFSdRu`=6~}>SO&N0oh}LfUG5aw8u=tREhBVdUWBtx;6PXR;-`t1up`E|S^PTCz z^k9BbfAOJyHAu<2b;UI%-!`I zOX(YlI7bTKo7lT-(}&qZc^!a1Fehd|P0M}-?r6FnuY1#n>4SL+!WT)|JuCYa_+oM` zOr3tP4uGsZ)`K4((~sGQ`G>^^i;uAlOx9eLeRj)!1@mFJ7PIf`;#hBbFg=)GL{UBd zJ681@f9>6{ou|if)AV3^$nstxdFC)#_EXQX)aKv%oPTXxY+P)AbmacXV(F1Ou~=cT z!eWK_MbF;MJYp-PbH~sS-^!;XU7E9y#_x(6_7IQ4-nBCTo|JHLX z4cFNAz4!R<8cZLi59ZSBU&v&?!oI1A<8?iLI}pZ5JD0L^DYJ+8e7c_3HTV8%Y^Of= z-y-|5BhJ9H_E-<5pLow`_7U5I`G>`asT?1f+ZG)ssX@=uqyL{gv2DY(me+$GCbqAf zURtx@m*GAMSv*tt?Vz=n&n`?qW*_Ds79T7=vZ|S!^UUlgpxLkB%#^v%=0jT#*m}p- zJIwLK0$cCddau^?p3R5mFBUH>Uep>dY`tmg?c;gBalFlkcJ3X=_If$@XRe*dPCtyh zuUtNU-*0u(huOzO{AwbeXzvp1C6@Yr@D0;MMzV2U`MiX<{MG`i6Gza6>BH=SGv(}l zmHmqFJqGs2n$^!|RyTc^J;eTy-LtY^k@Uq)=a%QhAOw2zl zK1{{n?DA`w<*{?{hO>JLb`()Nwp3EL%U1ayH z>{sB6HZR&bz}7*P*FlrD4mMx3HM#9cs>kBV^hLiOA-6S2d3|R3FnjR+kbT9O{R-yA z&=;rTS)4t8*#>Ol*vUBA(ZIZ)&sU4sC_+fyv>LHJ+Pfs*jYu|Sw-^fVye|A z%imd>nlHY$-yKYjOKzk7v- zaqF6-U!mi0-DBos$njY%J0ajLbi5M--X_P>&l<<%-R*cM1-vI6 z&-YPH(MyhZN`O157~l8QfVaf)P78RqI^O94?*Yd3B`yH=2;Cs+3(UmGa8~@(LvoRzp;_OP5u=`{{ z9vk7vRj~Z~r@p@r;J8(=Z3==O`yvil1snEZE>2Sg8_InWC#ezp4#r*u8}?z{+UnR) z4~MR=j%97_Z8Eo^IyQW^=9_EB?!KjV?7_}-Y|M@SI+KiMZj0;8>0$D|8R~4V!`5PF zPu>OGosW~>{!ThJP42qCtBz$3@Nyf!n~wE;U=Hxuhrd@H%fI2~DV+qoHi|<4Ni_P8T;$|5bAf+iRoA`H`H0r z>FL-sxrZBT$Idt>9h=sNnHScM9i5$y_5BTfbK%9+v7ycmTv8nyuH_Ckr(^xI5mUYW zZd{U%_5D4p<`+H?59jEW)v;k8p1&m>o7RV0ZcWGfKHzUYMm?S{W7$Wvudbes*1o#E zUH@UV?BIOTqBL6ODmmp>i`cofiCB2960t*5ppDp-vGZY($!>?;7d>Og#p%V@PJjA; zHlNw~@x$-F`|iWyU84s$EPmtknA((wbdHW>Ue^c>Dl$V)Yj?0l+w-T-kD^;u-X}Uy za>CP`SSMn-q(EKj^G98sjlex_6gg$#WC>cQ3pwfF`EOKNT`VP4qx)1p&$T_6XgjF7 zx=}if`9Y%XMb**Y4AGAhZ9i8%=i1&(v^7X4v($Ei=p>cHzUUw%k}Ae_>}@AsEyQ@t zH-(XkN*EPO{ZC@f(^az_1_9iY6y9s0c<2uq8k!P@G6@{{a$)8w=W?DiP0mNvh~B~Q zOkgM@%gfm;hK9DpV@%WJ^b0f3VHiR%zHeU69`XFi4C9(6=RsjEadH@MFNgknIgbgW zg+@vKDGsA-oPPdF7=My;s>9G9p3%#BTMxs@qS8L`_J+^gE~T<&gr`J1X)pa=i^7#) zkmFiQsc0Lyo>kVtZL7K5g)m`Tn_Yj~){E-$cI@af(Coa?k^}M>jq> zmx+81205Jq4vM(PhaA{rsKV!Yki~Oi2gzKl=))3tfv8#3?l8VT>SF1>+>=vP>i9f~ zF``aePIR*hTSX`Cjs2c`F@8SLAId)G^otbcIo0owjC-7%Hr0dXL za#pE+G&x@uh7{&p^Mqvlx#)n1SSFQ^jTiQYexb8Ow3X~pIkAGeE-T&p4He!Ly(9W< z*XH%ixcL}JOhYbuM!&=p>JXdqH7cwZ-6Z-g)w$NoAwR?M<|*gi^oM@XAL5BwvUBjne@c?@GpJoSZ#XiRX>%+ z>j}wt(#hFZgPd@j=pS|FufLXz?}~mX`n-6|RVtPeaSy+i$(Po;@QH`iXN#yKYgBq} zP@p&w(nEblJmgdwcdlnWnaq*XC>b-I91gIKCdbF+Fh|Y>k}=!K*(V%MKA&xv=FWNa3Z9u+a~ zRT?kGnRQw+&xx0pj3FoIORA^I!M|3DxSsf&%*Be{m9hCZXV@6mfb;XFiqYBpQJSWS z3x5T}+LD|ugjj8xN@=>V_#_zSWD;wi5KEs)CkxA-4lK`0N@AJ6N$lBLm4j`Bp_Ig8 zOQf@f{fKg~JU=OkofWgA*}|?+87wi3l*HnDq$XjnQV!OixF@l5)P|og6_zmoON=9d zrSEDgrHk}8C)z8nU>l&|}f{Q=**cd0{V84ul{D|0FEy7%+?v zIb$7SUsF9N`d49_l!H0P<&0m5eM|M6=;y*VD+kLOe3}Sch&@TnoOu5wY>RTRj5}Dy zB*b2!#+-N!3T}(YMT|RG#w5i4y2G9!>|(WnCANWOOhRn88sT3n>}uue%Gf@YF~KHj zM+UY}d7ZFpl!L{d;4vm?*z1K|t2VI2ACk8RWI~J`GByZ%opP`_5h)GZ+`gjW?}c5j zcCMWz;(Er~_W>F77wq?iy+LKLvqfMT>on}M!fsF-*f}Cn*azg&hTI>*)qRGDkBX;Ko4zQO*Zup3ndd$EX=#G-GoFAMuImBG#vk&;;S0`^s5Z&DfT zd=V*$MK54~ChW~BgJoVOC9(KG?uL~Or)bjr9hLFN1tRoIo7b+DitA5a@ue4mu;1A0MjtFWI|87#g} zN@D5LlYhISpR^|MNM0`b1Cg^D2X7{v;)_%%_d_UDfc2umdVHNBH@ZSe4Wn*e?nDNrz?rBzd`^&cJ?I*n--T z%lt{Ig#D_p!)gcX=g*wVp&rl~a=$L@4wb?B`EynRi_XA)L)beU_G;xM--l3VVE;nc z5w#)!XcUryxf4{M)Joy7f~UUXGMc>iNvpP^2L{i#&<&x3E~M3w6M?!2}kY_GNMW7b6lbmNHXROFsE07Zh$w~em{@$!_`$eOoXGQ-hI$z-Zx~ad0U$c?? zTlMInr?C$6r*w)+C(b96olKA2p@3y)p-*x*@uehP}hT4D| zcMj%jLvGFw4b+BQ^T}bUW~`q)>~QI6qj?{j7tPxwY8JJKHj8c+trx8mT{bT&!#j55 zyNUxtB~G^MW8J#TN19v4-Bk5m;~4Z}xP(e{52m0AE^u|yjbC@WY#^+c%f-$uefjat zB~}z)D&ZYvNP<_!>`DVUrbE%sBT!{#)KMH5jQypC=YX79m*F5`i6_W1O4%%MNM0Vd;7YZMz;2M5AAGe zZrX79qUM&xEuyBO;?}04dRS+Fx05t5MA6a>-7Hhl^1d z0ZO)hBxlu7SI?1ZY;`Ic8tBRwq>to?v@$LogB6=wu2_8JMK`RDyvvUj61N{=#727i zw?-p{-fjKSPU<_U?=00fua3rCyj%)|lt$TITe@PTb4wxG$)Darp>um)r5&Ap!+BSY zF|lsO!b~()DoO5-N(QD*Z0pz}11XmNZi|Z1&WT>wx_Hr;3ZmAy#5189JirWXH){QAmG8mecGzak3B`slXQt!5EOCi=?ITADczsIi8sVcrixI|CD$Xv8Sk(rTTAWFTY1BqkFZy`o@< zay$&i2fbV?z4U(Ge%7mk7P$C8gP=icEk61gwYAuyofuTKRH;?-{nvg>&YaAIfr4Ov z9A(zt>#V)@+Iz3P*4k(9lXGKv<@u((JVjn6<&SmlCVp#mT;t?%Lgo=v`HXLex49;0?(laen|-lQhfuLUnDu4(#f+D zDvE_6s2s`a7x(?0)eJA;_7N z9OeB4lpy;ZfUGZot9mD1N9mq+PSDftX9)=l1wRR8xl2%vxKehaKJ5PA< zt)8oHy!-l|t7lKBTi)~hqlu4--h1W4qP%adehQ>nFF)>(OvdYSATr^bvfvkG!T)C# z{JJdot-xFG&v?BCL?(ImWWkR@$7P~FD+|6Hc#E-8m))Uo!kL%2KnR_4b#d&+i4kSox@b_KIqO z;ox?Gw;1q$1%9+LUa1mzIWLzA{=0=8UM{$;$inA6!N)K7(7dHk*g zNQ_a6l+v6062;&j1RtwG9%WKvQ+(3wD6B-=B%8C$GpS-`c{n(ez@##=BKQ|0OA?(^ z-x8f4iOq|~BCsP$cy2TnRoYsj;rO*pk#I{S9)WoBWF(n+HH@^{>cujLW9Oe{}dC``5q&eKuItNwGYp$6e zjQFmVXk5=CpIJmCwj312Eo zPHU)XX)#tXKN<@+HAia0tufJL(J>&_cUP+Vcw-Znv939K4Vo}F+6+QgadsoK`&W@-S&mJd{OgmI+d%u;1K$L2^&V?!%- zeRytbtd@-d6;8Dv8k^h5ija)Z3~I0fC8{MKN5dNS_kAy&M zo;fQpBN(_eC}e?973JmS;VK;`WqM`B)M;URnZ3-FHfe^)U^=BPO6O(xj|d1K1A&~k zt>dFdQE)Vj94M}FcT_V-KJ*(b#eXb1ya-{~jZz)HL`QGc;ZM=wy*m6!I{a*bC*Ck6 zsjFIt*SE1=hZmx=GEF+Xj9KVLn+~s!>lW(p`nYC^4livb@#)mz(Q&D3g${po3X7OS zhaaWG->1VLqrPUhfxsbogU+^xJiKioxWS z)ZvelNWfh>{P8;c9v%J!9bUP!n&oKR$La7Rb@&r?_+lM?ybd2~-#Ie*3N$A<2WpaB z(5fh*_AMhf_q(3&uqgefzkpx!$$q3LUr!}_xA)^Z{b|aR%Jptz`Nt?vs@B`Z@{drS zRIGOm%imA=0?Myq`TwFksZ?(#%im3TQl;L7EPn^(sd>FkEPpHINu_$LS^g%tgYcXwwe zjcrI9_IkTT33YVEP5s;0(01yt%R=fqGl_8FxocF5qO@N4_-rhpQ0#tWLyP*VD7Wb! z`Jwi{ypXS_rDU%8Bg@XnROI_jI%& zxfSWBcB5^{BBWT^WLtrv@YGL!BvWTKfobC0qgtTQ1ynw9?s!VKeL=jAd;2CxshUD+ zck&m6Nt|0mcYna|{z~3`OZ_nUUBXc#eh9HT1K$l?7MR7P^M(?^k`1B6ZJp4lkQ%xo zl$bpwl&CCO5>iJ!n^(~7pG8w6)bUB{xgm8{$-V8@73Rf#m5Dhc%e4t5+EoRbDWJYz zZeHCNR9`o*-Wu@zX2EwtTE2Nb%|GwuKMY(E_+jA6%^@{ja!=nAnn}B$K)ZCLZ|jX? z+CS@$o77DcHf2eaz5#}>lqU-F0e>CRMg`Qa;F%_53QEp5 zuXhd)n%Cz|PZV_F-|%v^t5W;lPW0b&a2Xz4lIKgB7hj9+4d(Tf=e-nEp9@ZWDd_9H zVSR5cu+-wDe@RoplB!`L?Us?4y5{vS`_1b=NjUMpUHt@XtebNq3u*t}gt?9qCS!?( zxiQW{HU#56xiQW{rf2M55--__KAwguY$s zw!WQ$BDt7RY#?K~c|$(^N{&fAM?oIVPF@ZBm=ZvcADx}NCjP7Z!T9qhr<1(JaN{^fFEu| z^At51ARP-Oj@yap)nD@T>kJOmnf#m>XRPx#bSt0gBtIe* zWoBs6&Qi>_kh+dsa7bOg9iT$}jrRS*P@;p}en{=u1zb0amDSk=aAPBZ>q+54+HxXL ze?TQDFu+}k2aF|>`VvGCYJa*AHEy87<3o$Oy$BsD6aP)INrifS$rWl3>N+X3DOnKO zw7noSaZ4x>FENMO-`#Z)5>qNvH>xH^$=g2xH;vU=fyovOU?^vW+BZ^T6mthns7m$0 zDO9JnoaE5PsLMYG3?){MC9Jw~4Po=uj#mlG*=pm>t2>BF?bt?lSsIggGRxku;3BOI zm6G_{IE?*05TZieqP|h7en6h`3XEMyTS)?H$AXa6faqc+6CfW6>T>m1^}+&m+Q^A7 znOAQ@grH6v$5|N(ExjzeppWBSm)D(2A6WmyIrZM@=t6x@^7Xla5HjUCiCLY@SDGqDh}pvWMZg$&g`IskM+?4wf8mL#6a>MJ=25)HQ&72 zRG}UJY=Ozt_p2f9=Jzc>Y_)aOerxNW|4PGgh_&?t2%*>3hY%%x?X>l|kB8LOQ?EbN z+8ScEbt6r5nArOmSWdIgXkuwn7!4*F9gY*ThMCv|H?fI5beC;&so#fD-UOqZpsC7n zA#EKIgxa5liCwn}N%f?V#s%3=OH2HcXMDtTm(ppLwg(7U+Q-u@Er~;xmaRw<32tc< zD+qg{y7C1;A*Obc)ZjF?Cy-FLQLx043%H$KPHoV}!_GP(f?jiXGm%(-XrrOR zjQ=QbX4MY|hWb56JG+h=f*xab)=s9ogQPdF?ovAnxSgfEw6nZr%PqJAPev9#q$Ro- zP$_i8n2e-*+BzEGp!O}ydm2`5e3?hk8Q;%7By|RdJs>$VPc*^2dN^z)EcC>;5s7f4 z%*2FIE(F7MR0EPlOA1YsS+ANGKL-Z+D@i&SW+pcoW=il*H78~v-TPl4FdEo{pt9?@ z$})}Y9!|l%dL>l$S*R=x*Gsts3}jtW*RIG_&Z3M(bd_a}fih-5Vf4Xea6dT`KTqMGl02TsDITElpm>030M~KE$U>c&YU}j*ArNQQ zXWrlDWj@m9TR>*i=YN6l_K`lOEEGwm8C_CVqXr+e@OC4F&};C5og~!PEWBOu9%*0J z@OE_kPzMZ$jkfNWy=9BBg5JYGTg?zcudTmjLVeA&^}W9gsjV$nA8Ktq2(iMU4R1s5 zrrdIxr4_NlR}tP`1`+g{dmNNNgoKAxcuNmb_bt5r#yi~g9|>;{WO%#$&!iuRIJ{j2 zA@oXHy(2Y`4y*8%EA767w{!mlrOiFO^$_`y@OGb`-oCP(Da)Y^Z{LCtdJX>B+a%Q2 zEMR!_k3$9wbE1bjVE7jZZ%=>gFwj;jgwSj2E+*91Oj}3&VMuM=GWSqx>%Uxh8+|k7 zmJd~UdksX;Yp(nEB+}vc^p=hl>|1y{|La(%oUQf-V z!z#SxO1m%N?JciCX>$*6XA}A17v3hOk&f)b<4_z@Yk#@`dolFDx|>dp;5bQw?uaci zTFH0k;Ylh=tYamv#JPp#e20RaCP=Z^pl3nRsbInO>Ld$}XS-}`K#yIv{oGz7M#-(e zV~R!TGy^T3^xlu=WI4#7B}iQDC^aGW)rg07l6m#>YGNx1#&*;;iQP2*6rWBlyo(z3 zs{M=qM-n7hY1&O=TxmCrTCLG;S{HH4?bHH$wl8DCW1L|(E89`lV+)mg7T()xy z)LG_4EBN-lgyjBhC+tNbnW{oju>r<*gS$&t)a612*$J92FBefQ+wWd{ z6lxwy6Bpdt`!02pUf0s9mRLFyBQum;4y=rcF@#|nx|MbF;CiU^}9%_^7BR13%BoD!mImk}0ibJe8ok}NFD%JWz^ZJ$4 zQA=iz1u4m^DJqK-;by5>bcBq&rFNou^){6qS*6oqPcOKNoxn{{Q*fZ{kIC^6L$BR$ zFtIW`6=Rjq30xYnoMPDn6}X=8yit{znWgi!r-Ty6S!SiF@`S9>fe4vy?fpBo0MP++ zFLY*%MH{K;K;h?Wv)s+=Tb`|~d3}NnN};JY1}ThT@Yh-6^Jo0?8h`TE!$RXrA%2_A3 zj`Gr5Yy0*vc+wVOmZ>dAj`AL$qrAU-n)KrkKMY#n}w7_X03CDWt1ZJ615j9vmVt#zArxUTG%| zyqdNF6vj6#mZWgdE+W927M0qAJxEowKT{ac%JFtIi=n`+5+1s6HcF_+t1}AJ^9SCHLziZHGme^xUM)exdf9n>Z8^0L{puvtH>ts?t{r=d zqC7@#jy^dRKgl)-)cZY9XbEbXdG&Mr`MJhgnkdM}8-R2}h5Mrr+6Yu?vs5bqj4{9l` z6uyP`(fJ#g@ZVjGg9R0LvJ}qfCrBXe>VY%*KPO(8sq1J=&cs7}wyxrf1VbIvb?k9@ zKAS}9b9fE8(2SznaU=DX35~sZ@d^0NU&(ZWy&93ndWkRh&SaR$9ZWNpy#q?261~YU z1}`VlXEuqC;UiHh)E6JKm}*^~8!DICNn z0`LtW-sa7hXi{`=Y;8rk{#uF_{$me zt`4abvld8G>r)1T)W*E{Jxuj{u1+1)$LR)wFusm9qGXS+dLPej8CHP`BTh;J%Rrqu z;PXi~X&o~Ad=kw#RjK_PhjtRdP(FVf5gU9yDPihmOClJ`=XVh?dxc*3{Ml51d_HU0 z{`&kC|7-C1)H9gz8GSzW6Yk~e^GRg#`Sd=U^!ZDXmOh^iF+GRV`TT{r&wW0%kNNx# zh^RLMhr0YnC*I>Ppvcfe9^VHrwl*yO)QhSWMcedXi)J_qTEXe*$0F~Cy^`iBtA#JX+IlvwS zGWWinac0JZhYF-`nL~xA*MS#310-qKVzUd0jPH$7FA4Wf6$tmv-n65!H81wyH-9A? zbLKG8r!4i;p=ZyZutq=}hZ4+;zq)z5wUDx~DB&Fs^u z5k{ZBB!xro62VYDeQSzXP5JcB6i%3|z54VGRDw-iVGxB+ucq2*2GhXc@%jGy^p}4{ zN;KG~Q)dnG>C|ug;L}NZ^66^{3ZK3hX*h}Pgd(3#_tbR@DceEmK|Y(WkRI>8MCOG0yR*2sPH=)2XQjpDy|udln*$XH)uNh$VbFmmfa;Rg#%ChfitN zNP|yrqY6?}noV%Ac+l?P?wjrN3so~l>{MDGu}^*ktxY?8(m{HI-7wb>vZ~qHMCCOvD)CXX(+S#>=pc8u0DGW$Pd6e z{UwN~pXRr(N%z^T8uHmRwz>LjF`M;1n_6e^*-U8`b(_;C_%;aiRj$+NPo<%G8z7%? z){{-s&-(I*&;+~IvR6p78eSjo4 z`gHPS2X~$R;>l?~{SOf9D_N(rxxP>9^h@u@G);@$hg_$h2J#%&>3e?3IqMvKCptcx zqkoRb(;Phw(@>5cD!G+NUxUvl51P&A(-`7ju0Eec zJ^<_VKR`si8CZE=y3c3T9MpCCvXlYv(>ne45a=MS)46-kX`Mdz7sk21|LgSe#Oug9 zJ+-4IeL7hr*N1StCryot^Y3`dwWGMH2 zWS#!i?Wa#!W$@WzMrVAsF2ed;eKxuO1F%k?2od$uoW2wA)$XSkrY1PsPcMZ4U*$TT z{-k=2>-3dB!(=-^>-0;A7bfnJb^6zSo&Mc>GW+zyZ=F5`lIkb>=S*^=Pp4Sq;I7l3 z$H#PZM`&CS<11OGv$?)c>-78Y=I&VcrzvSz>4AKvKTY{>AkT4~UL`o^xK2NX$kQA> z4bxDL{>VBVJvPJ{I$Dh$gmrpog~8_^e(Q7>MAVyszag*p`3HZUuBHqC+kA6EfP=J7 z=k7hHb^4P(G0ye-13i zl&*nT2YQ{(-S@t%)BEngG|YXS{shQ#T&LeooO55N&mr7eY*6HFqWC_fd6!ZEqONx`%F$(bRUetxJZ{U0pefcY- z`;rnEMu;JOPco^2oEnS|ge?h{;15LvODvU|H-Jy~U?He}{3M8!r>Ggpg`NHV?R_IY zeN%lC=bfD=;}b;hqwyhaa&juBB#Hx7S%O2BM^S@{%e5&bLG|zHOeTX#p#8%UflvQf zuCmq^e`CG@rM zKsF&vYVc8DlM z2B*o%6Ic^iYfc!r#oGHh(=2LDKpTtCB~_MKwLF{`TwH+Z)BavwKpk7++h$&NKC->L zaFB#PhqRqO!IY#=FyT8)4eUEiQ0#x8KeRFE5BgkS@?ppqN@(;M$B=gCNP2HsJ9`QS zKBT@|p)F97ALBLK$^^d5RGH9-v_FY`gTZtx>6ARbPv_|OhAj56t;gkvh}=B z;_)h-;?Yor)Z%07mwar`DiJ?9))R%%G+Xr=~r? zzLS`6_2Nwm*rb>7cb1Y15;P{~E*oc2k{?l;>=SjtF6sjDIjw($603Xgg-KFo{(;5q z7}&>((Fzh9uWCCME*-6*V>CQheH}9 zaV`wy;e&FleDWSc(FrJ8MMck}qA^y}up7uOfpG}!#|&&peakDux4=mF?f6`#PWVdg z^e=E!1%3b2*~E1mmw)y#M8(Y)%x&@%poyl8Xm*oa1466MhBkfholxGhp_jgd=oVCv zd|OLz^<31mT+}m(c&uSoMRMdVD=|r?+zAI|20zd>1dY>5Kdd^|j>V zx1x0&@5d+D-lXrO;!IQ}KANX~80a7S`%wF4d^5AJ`MrSwA%z@A3JK#KC;hy>#0ur| z()T6lqI0M0^OyKz27bs9YTwfzKk;#YXEi><8pJ@f_l?ANJT-ieb5DQkN2Hhd!Y22z z$w|~nq^UFwpvJoE$BoTD3fF{fR)^PR_qsgxu-)OYyL~n*zOOv!o6HJ*F&iIkj@8vP z*D)?pe4~33efqj_ep9p|QdfpgQI`>p%1+XM&$*0$g*JQ6_nu{Ly;A8-ZW7Qi)t)o|R~0AB^%1sFm|QjDnR6F5yj z;JsLBHUWO`Kl=Mu0iJ<}Y1;sQ_cLrB!sxaCyuaTH=*GrbHQ;dUiFX37#lep*z&Q_q zFW_;j`}-}hg6}*GIRLL&+uy$s(2KL~YXG0a3mw}5wI@(NT-1W6P(NVf)2JWtRJ@2y zvERqts2}i!U!#6}Dfr#rqJF?tub_UwyI({7fblm`Kj5-IqJF>-{{A;vuBm@P{eTnt zP(PsiL(~tr>toapxbqX#592--PO22}&wodM13vs2>IYo<4~!e&TEOjq4WB~}d@q*1 zLu&zC0_X*#Yb*y!-gTEMd2Pjc-zXe0vJ<|n1bB*?b}s4fUrscEqT-uPfueEk`N1NK zX=%|oAOb}rgSbWiu2B2?za+Y;Ma9cZQ;WtW@~0MAmKFqxN^c$(D6+N>4;Iy%!bcZb zfe92@Kmp3BMI!?c5Esd@6#vG+ters!aS{Gr{5u7ByF>yK{t5h>oPqvT;4jEPzZ3Yn z4D(2@{Y!|V46zwPS8IDo0Thl9q7-3&H9y|f4z?X9qIh<0{v>(wr$zy?@FiN z0{V+#19O#UH|T$Z=Z(42mlP<UPu|5>2-KHuN} znO>eD_%8;%>BZdTUkmyNUdmnm9iaco?{b%aENrXg_1xtTg8seV=PrL7^mlE~UH*GP zf5VR4<$o3QBa^wwPkyHWvDd48h^3HLfaS7A?#(eR_0} z7cLV4&R{=T0u~M6SNlPK|BFZ~vx}+{rlt8e7qkyE-J1#@Slo3N=#E0n_a@Q(u&8R8 zDUrXl;O1fN!%YuJN|O5t&^-Y9CuJhl~8}BW^P-%TE-TW=ogM ziu8+%W$=oKTEC>kVK-(2xCH+LVjDRF7&e$o)NIC^d>)pfBUk(krLG=KYkSj zrjT8w!~G0m>a%N&$gBIwbNEHC>9!^raIi2I`WAqQ=EaFFR* zCdTb&0zM?*lLEdd;9CNIAmEn*79HbfY)=xfOu+L5oFU+q0>%WqLBM4K{!GA!1bkA! z7X^Gvzz+ocQou}Y%7j~L=5pRz%;CcV{#n4IM|1oX0c!Tgzc(n8W7FIc)nLhfmJr@ZO6#eEvcXKMQd<{d^AZ7xi8?jpu9q9R5b&e||2{ z7f&f)Q6IDGI_4*T&;i>@;T ze$qF2{`&D8mJ9rgC-A)GI1Yax@DGgTd9Q$Rf&YhqHyz9GD_O3ei2D+DioAppFQLRs zDDhd?EB_|=NqD8mODORYO1y*;pN;=+(M}0p6L|?GUP6hNP~s*3dQmaaw*_RC>we0Fo=f=gRL-y1fZyAF9m}+&Ma(M+ca(GfCIfzN_tTi_GS7*2N%*+P zKW)J8?Y?BG-oWL1d;y0$uj5eO4=&{Sr8jaoecH5hETz+DTsG0-EOVB5EOx8SZgtok zmeNZjb(T;~oa4{7c_u1KStfrHm#l~9xU4cw zP{lue`8E;PwTbfd>{)+F{V5j6y8!j&i;Mj1PH_<@7-yJbvK+sQXYWYp%a`8dXSYgk zVn7jWcm}_+eu-Fx1eKTl@4d;79M=1y{3?U|vi}$ne(u9RefgetKfBe_&VWLDGy2O| z|9afimrr*18F{jUmzpKUk>>`+Pv*Ojpz>mxD73*xm%oz%#n@j)`MW`;FJC3fSLIm# zMML>&QNCJ~H`Zs&zhWp~FUr^FSpRmEC*76pm+O=^QGOLQ4wuw#S${D{E4_gF_K&-x zn%x<92PY`yAoVNDOZWlq=*!D>q+gWpNtNUYSx&-F4dpF&Rx?7&ot!>pI7QYXpafqa zLA+%9Ei0?ZBKw1851D;=ED3;ELm6CQ-e=}bhtw?*Ajvte< zbLseF6=}cH@nf;)T&+j3biQ(&BJGX-X1;Q~BJD^z{scwZhjje7)bpcs{E3R3&*}K_ zikzqEc!~zoFVa_&a*Q%X_(ge_)Ve_Vs9)wKoPb20GPr-f&G3W!hwMWho)Q}SryO{y zx7twe<&1tnemS4668KVKf8;!E6MVKA_+*ox;u(@ZWEij88Gf|l?errxaQ!EendJW; z;7R^ni~Iw`NNvKuO#QYa3;wUb=P4&DtNy(jDLgM_zu0R9Bz)ITsu@Pw)nVum!Z#W4 zMFQ_P;7<_vVgvpZf$v(*`OA9G6!>Zb-X-vg0skF=_ut9++=o0}^oRRNPK%-5O9kF) zz+W!#RR(;Wz*iga^96pP0e_9acN*|F3j8Vqp8f_d$+^aWzg^(B3%u-~y9M5F7_a{W ze5P^VDCl-7zSkCdlL%oiySEl8-|;6gv6WP1Zhbpnr58OjvH{Q7wo z{954iunLfI4P%C%=NW#moqPrOF{oEQPh@+RpdW!Cf$+P99at_H6$-q3{&$?fe@Ecu zb5FMShI?^=H~O7_6L|St)-L$0X86JWXq}+1TI6SxtocYi!|sV-r=XY5JLQ>)X9Zq9x0U$MvhW#&g&xVXRA<@09Q-W^%!KLwuT znJwm>Wc4}l7Man(Q6spV@_Dt?hw}tpK985}y;|T?&;2oebo~PO(aLzmYVe!C67+H( zfbDIAJ}L0>dAh9ko0$02Z}K_4oJUm*&#FrMxf=LP{of||$bE%3p!ak=n1xT5pqJ0* z<#K&@7W(55u#=p1;<;lfXRmk!UhX5ve!EKGA3W91C}n$BGQ23P1M3C7+$VWV$loXM za({xIT|hZ{PGZrOmq{n^B&Xc3k$j>8FZX3+|NM;MW$6LYUQ$pb7J`X#Cf_s~QCq48V{Lb@?UKG}W zK87E>Uic^r{fI)|pQ-&D!E1`Z%l)d;1ine&<^GuL=l>RXx!)%H?RAFN2`G{u3i^AF z^)t*p0zdqi%>8_N7W_F3e~cpc=cGO~W}&}M(93-+skf^IUhWG@efX2W%l$ApjwclH zev|u-X9)Q(WO$L$fqB4>HXW1p9=G=lmee=K6)Ac7)9>eekSb9e1Vtyt1|xiPl1>Fp3=U(Ebwwa zSK4`{wx*#WJa2wujLy$D$CcXVcuTw$ZyeMr;qbIs7hf8#te80~97Zmfmb+k@?p9r6 zcwR%}oSKF(+v^C|w6-a9^u8ey$Ju+g1BdqMMmSp6MmeX#w@d0;=g+@Z)>A$s$f`O2 z(!fRKVVR?9WO|?$wz0x$88}Km=&XKteoZuncBGy5uT^3V)-sRFN=Y&0WyTjqKx}9#1!{@Pid{$f7Wp#L*z}gkklq?0^c=5#R zwYl-1)oydTe0E*AL2sh4x@>Nz!{_t*ybg!Q>T&rn_8wg!G1D@abvS*f$m{ZXJvO() zfmdGi^@Z8KV>sLpol_gGZE5BCww7o(er;1E+!Be0>mqY&S{vfwYib%=BU##HbGbcE zufy(iIlNw^oOpLdCtzmD23lqJT3zTsw|DP_98Of=_BwqY>U$d+LtCAhYqz^yJ|E_e&+c?P++gL^@yT4o>-Lf5aXK+-9uJCm^rJv^H#IlbMp|0J zKd!;f?oe;xFs;lYnZpbIUY`w}Xm?wkF1Ks1lG*GI?0MU5R!n)f&t-Lbb13BT`D_la z$Lqm+LLS;Scj~)89Hw1kp<2{7yjau_uC0&M{wUmB6Pp(aH_k;X>LP7fnqjv=Q5`PK z3G^}EvU2E~5e{QFdPu#+E4q%wfTtVN!sc*0teEFE*C35( zmdyEUdztyzJ#KWQ9mWkZ`rLL{VO_J*WMmBJ^}&Y5dC}Ui4gDxBL1r(-PJv{u7G}Wi z_If-Hx6A8<+Sv6jCUcPi_42s9F0a+C*A+Pf;+ViKQP{?zCxOl3bHOxNQLh_T1>VQ0 zp9Yx)fJw$Hj4q!CcG88o!)i~n(BTWN312F@d|E?IOACfD8zWh}mGsFAW4Lz{trOYq z@!IV^=ir$u2Yh}s27?``4Y$%coXkQDIBWQIyTk3%cW34z!r{7Mj^QZWHkU(RhK4|R zD70RB)ie!?H#TMO6PpwIXN5P1b+fsgF#I}2)cNrtw8{g!mp$soI-#cudR>9=4I(k7$KLF{`a~N zh*+W5j=d`s!eY0_3ax_6_rY|!-Fu$}Py;^i?RJF4F00*R(}xM!22O?+Hk%7w?C|N! zqzzHZ`V8j8I$jI}3oqHK!SA15Suu55*j{EYbFr?a(5kkzxtV5R1N>3eF2gKv!v&Ez zHWyx3b`6$_4aZ4evC?Y(!HCD^Qi8KN> z8#9Y(x7j=nrxRWiD@DWxFxEOT5#iJ}U7N0Y^xAm1DvTjQ#E0hjvg#dTdJ5>RHZm1< zuhX%2>k9+vMssZ#O)P66m`<2*#l?vC>R@wQTOxIA3b2(~U4+bGHYsS~1M80gz*^R2 zx55VKcxLGo*nGFmX?5Cdh?lV1+G~jcKWj&TZ$mJ=*CMF^3(Fp-9cuxfN3ZYyA0ABr AMF0Q* literal 0 HcmV?d00001 diff --git a/isim/ComparatorTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/ComparatorTest_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg new file mode 100644 index 0000000000000000000000000000000000000000..6d2714f6d1474ce58be5c601225cf2ba8ea3ac0c GIT binary patch literal 4458 zcmchZZHQE56vrR8EZsEiJvDV)v&q!GGrI2T_QGmzsi_z$Ss~Nx%yswX?A&4Qopn|s z?PEp+eXJ0IULY`nqAx+zmxv{TAflk4PvXZu2t@_<|J`|>ox9`88bk-qoVWjTp7WgZ z+(W6BGS`K`Of&n-6Q`CE*s zEfQXOMtpdzo>(O&B-Q*ZzDG># zf;b&pp$%8br_#-8>FL-8*{kJek6cG=NOs1?hC5`3P}7Fcy~Je3oOKh$r?$&n7%)y>qR^Wc|uy|{-y7erf(odLkIHD%VNn+%A zP3zBz*<)FPFoxMU!p|D;)V6LGDVN!+4G)N)7C&q;?p)M@4%16*=XyGQ_mX<#e_i0W z#Y;}Ndd8m2&k^;YJIVZ@w9LrUc^;BIS02~Hv?R}za(pX>_J~ohRuAXW>n%B7 zT4vo)}yjyFkv2d|6@hl37%euydeMB({!#e{kXJp3c4$gn8eS_oP6(q=V(#PG0)q?ipD64fX|rhNXjb z-&OjN#&Z9Ny(G|Ml3@8?08s;ReB|Xh;f~DL2j_7~&dS|`z;Y)Xma~FgAnb0WI3tES zvF>>z=AIGG#rYgLKlWTYwYoE;b!v0{HoDK%v)#h~8LG%kB?{)Xh zxE7sy*4nkaW3pCf?(412+%{O<)q`}p)alyN{HYt2n|jjwU#soTGxXu|uJrllvcK8iK7Y~#{kYj-JKfEY zI|I49$326HgC&k6jwFucIYv?^k{Xem70Gjp@mjH7jKlh2KZ<)N#>&PEYq57Us07ufm+$Kx@b(-UF6=sl@D#6jQN82^ zzVCZcTrO0?(V$ew=llCwi?4CF1kCzIlE7q-WKH&{I491FpAkPL-Y4EI-jp?McwxdX zspQ1-@7A}6y$T>!QRcee1C3xev4O+kI#K0i`BBt71piNoYtygY<;B( zX<>n3x7AZlPcA{D5u5h&l2UinOJkj#X^zH|W^y!cnvozbg^g-#f@_l&~cj2!7fDF5UHNFV`T9W$W3Vq*MD0qs(iCs1ylZj6L5}kjFCo}nl18J zC4+o8nnl;#EZ`bAuIGAyi%XXWhT-|GpxqH80K{kqZEbXdfLj(2%rMv}!(>v<#OL5Z z0+)kX1WbUXPhGuL7?$F#b13zJ!8=lEIgxY;It2wlPGq&d?O4CGwVA`7JHcDAq*W~w zTtrd)ZzVoT!6wkN7R|A@pLec(pXLCKfb^OQ1lHP`bKd+R*NJren|MR zCE!~Tyh?%`g5?mq=2=~>UY+kg&*V6pa@wfp_fva(>ymHN_(~8Kp>Z^gx96?vG>xyv k&A)U#jAvor2DGzBQME2ErsAeWu+)~)glC=jXia?jd#QD z1`;I1Arh)7NE|@of8ZbJ4J42__0EZN50%QVBgnk(?oP6q*q1(OJa0bpeKT)%$20qR zv%Qi|rI@r7dxssiBxUT61gwR)#-3%T*!50p|50}IUu*RDL*UlEw^4=ukN=Fv}nW2=qEoB8?Gwd)J{MQu?l=SgPj z1*4FkUl;v+%L*cX*(fg{L$Y&G#ZsTHv()Zv>cZ)h*>C8XT_XNU-1i;odT#cMbUin> zpIOf3(_iK02-I^~rulorz}lcX@S=KCdY;&_v_R|zOzT^L#k39IXPW1t0lA?WOzXMB zp>RmzxPj0p_sg`N-W}2*T5R%Lo;4J4CQn}FDHL1bDP@RS+z!{6=sS_{;a;GkGVuAQ z@ypov@jiQoe7IRavbm^YuTc%2iQBUJO*%@g&h z6pm)**eSqRf%w_PdgXagAwG*B#nz}jiDRFhVSkNfpR5N{^m|kIw^R5JQ}}}^{P7fy zt5fDik^?(W9Qn&PAnS!G`m0m)H>T)W!yRs0o)x%WSNH+9eS63^#HJ>81s__rqxG29 zZs_=-+o9bs87Skc?Q2W*HovyA(rI>ix4zVFa<=Q++;;4M_l0i{oVb0<4INJmY(Eel zDO57F9lq^}9^awhA;+LdkJ2!NAW_ zk-P**29CKTuaOS}$G3;%tAJ!U2C?TPZv&D+kFP4pJAh=+V-1qu1SEt0MJkeWKr(30 xQ<3~OAQ|*nyW}4Ml3{#Y5t4rlNT!beS%ky!Um{=Xzl=HbFDH0D<`^GE+W)B +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/Comparator.vhd"; +extern char *IEEE_P_2592010699; + +char *ieee_p_2592010699_sub_16439989832805790689_503743352(char *, char *, char *, char *, char *, char *); +char *ieee_p_2592010699_sub_207919886985903570_503743352(char *, char *, char *, char *); +unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); + + +static void work_a_0883098610_0495709306_p_0(char *t0) +{ + char t1[16]; + char t4[16]; + char *t2; + char *t3; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + unsigned char t12; + char *t13; + char *t14; + char *t15; + char *t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(17, ng0); + +LAB3: t2 = (t0 + 1032U); + t3 = *((char **)t2); + t2 = (t0 + 6144U); + t5 = (t0 + 1192U); + t6 = *((char **)t5); + t5 = (t0 + 6160U); + t7 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t4, t6, t5); + t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t3, t2, t7, t4); + t9 = (t1 + 12U); + t10 = *((unsigned int *)t9); + t11 = (1U * t10); + t12 = (8U != t11); + if (t12 == 1) + goto LAB5; + +LAB6: t13 = (t0 + 4112); + t14 = (t13 + 56U); + t15 = *((char **)t14); + t16 = (t15 + 56U); + t17 = *((char **)t16); + memcpy(t17, t8, 8U); + xsi_driver_first_trans_fast(t13); + +LAB2: t18 = (t0 + 4000); + *((int *)t18) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(8U, t11, 0); + goto LAB6; + +} + +static void work_a_0883098610_0495709306_p_1(char *t0) +{ + char t1[16]; + char t2[16]; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + unsigned char t12; + char *t13; + char *t14; + char *t15; + char *t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(18, ng0); + +LAB3: t3 = (t0 + 1032U); + t4 = *((char **)t3); + t3 = (t0 + 6144U); + t5 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t2, t4, t3); + t6 = (t0 + 1192U); + t7 = *((char **)t6); + t6 = (t0 + 6160U); + t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t5, t2, t7, t6); + t9 = (t1 + 12U); + t10 = *((unsigned int *)t9); + t11 = (1U * t10); + t12 = (8U != t11); + if (t12 == 1) + goto LAB5; + +LAB6: t13 = (t0 + 4176); + t14 = (t13 + 56U); + t15 = *((char **)t14); + t16 = (t15 + 56U); + t17 = *((char **)t16); + memcpy(t17, t8, 8U); + xsi_driver_first_trans_fast(t13); + +LAB2: t18 = (t0 + 4016); + *((int *)t18) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(8U, t11, 0); + goto LAB6; + +} + +static void work_a_0883098610_0495709306_p_2(char *t0) +{ + char *t1; + char *t2; + int t3; + int t4; + int t5; + char *t6; + char *t7; + unsigned char t8; + char *t9; + int t10; + int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned char t16; + unsigned char t17; + char *t18; + char *t19; + int t20; + int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + char *t25; + unsigned char t26; + unsigned char t27; + char *t28; + char *t29; + unsigned char t30; + unsigned char t31; + unsigned char t32; + char *t33; + +LAB0: xsi_set_current_line(24, ng0); + t1 = (t0 + 2088U); + t2 = *((char **)t1); + t1 = (t2 + 0); + *((unsigned char *)t1) = (unsigned char)2; + xsi_set_current_line(25, ng0); + t1 = (t0 + 2208U); + t2 = *((char **)t1); + t1 = (t2 + 0); + *((unsigned char *)t1) = (unsigned char)3; + xsi_set_current_line(26, ng0); + t3 = (8 - 1); + t1 = (t0 + 6254); + *((int *)t1) = t3; + t2 = (t0 + 6258); + *((int *)t2) = 0; + t4 = t3; + t5 = 0; + +LAB2: if (t4 >= t5) + goto LAB3; + +LAB5: xsi_set_current_line(30, ng0); + t1 = (t0 + 2088U); + t2 = *((char **)t1); + t8 = *((unsigned char *)t2); + t1 = (t0 + 4240); + t6 = (t1 + 56U); + t7 = *((char **)t6); + t9 = (t7 + 56U); + t15 = *((char **)t9); + *((unsigned char *)t15) = t8; + xsi_driver_first_trans_fast_port(t1); + t1 = (t0 + 4032); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(27, ng0); + t6 = (t0 + 2088U); + t7 = *((char **)t6); + t8 = *((unsigned char *)t7); + t6 = (t0 + 1512U); + t9 = *((char **)t6); + t6 = (t0 + 6254); + t10 = *((int *)t6); + t11 = (t10 - 7); + t12 = (t11 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t6)); + t13 = (1U * t12); + t14 = (0 + t13); + t15 = (t9 + t14); + t16 = *((unsigned char *)t15); + t17 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t16); + t18 = (t0 + 1672U); + t19 = *((char **)t18); + t18 = (t0 + 6254); + t20 = *((int *)t18); + t21 = (t20 - 7); + t22 = (t21 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t18)); + t23 = (1U * t22); + t24 = (0 + t23); + t25 = (t19 + t24); + t26 = *((unsigned char *)t25); + t27 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t17, t26); + t28 = (t0 + 2208U); + t29 = *((char **)t28); + t30 = *((unsigned char *)t29); + t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t27, t30); + t32 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t8, t31); + t28 = (t0 + 2088U); + t33 = *((char **)t28); + t28 = (t33 + 0); + *((unsigned char *)t28) = t32; + xsi_set_current_line(28, ng0); + t1 = (t0 + 2208U); + t2 = *((char **)t1); + t8 = *((unsigned char *)t2); + t1 = (t0 + 1512U); + t6 = *((char **)t1); + t1 = (t0 + 6254); + t3 = *((int *)t1); + t10 = (t3 - 7); + t12 = (t10 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t1)); + t13 = (1U * t12); + t14 = (0 + t13); + t7 = (t6 + t14); + t16 = *((unsigned char *)t7); + t9 = (t0 + 1672U); + t15 = *((char **)t9); + t9 = (t0 + 6254); + t11 = *((int *)t9); + t20 = (t11 - 7); + t22 = (t20 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t9)); + t23 = (1U * t22); + t24 = (0 + t23); + t18 = (t15 + t24); + t17 = *((unsigned char *)t18); + t26 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t17); + t27 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t16, t26); + t30 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t27); + t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t8, t30); + t19 = (t0 + 2208U); + t25 = *((char **)t19); + t19 = (t25 + 0); + *((unsigned char *)t19) = t31; + +LAB4: t1 = (t0 + 6254); + t4 = *((int *)t1); + t2 = (t0 + 6258); + t5 = *((int *)t2); + if (t4 == t5) + goto LAB5; + +LAB6: t3 = (t4 + -1); + t4 = t3; + t6 = (t0 + 6254); + *((int *)t6) = t4; + goto LAB2; + +} + + +extern void work_a_0883098610_0495709306_init() +{ + static char *pe[] = {(void *)work_a_0883098610_0495709306_p_0,(void *)work_a_0883098610_0495709306_p_1,(void *)work_a_0883098610_0495709306_p_2}; + xsi_register_didat("work_a_0883098610_0495709306", "isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.didat"); + xsi_register_executes(pe); +} diff --git a/isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.didat b/isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.didat new file mode 100644 index 0000000000000000000000000000000000000000..c3aeaac48849fd0ce9995f557021ae6bbf72225c GIT binary patch literal 3968 zcmeHJJ8KkC6uxTI_=rYP@r5WPg3a#awb>0822EinzB-vqGQ;i=v%Bm}ULY7Hg@uJ; zW8p8bik*c>AU3vEDJ@c1T8JnH*6*8{lbs#MH5U|&c;LI|+;h+Q?wPZ9?(Fl9rEj@4 z`<8;d+sRR>46v|Osb?{)MRxx-rEc#~>JDO;fK{Nq9lA}aHuzUye*vEEQmSjWQs;px zumC&)7J(ID6`&ZA4rl4(6~v4t;$H1hO7I5uhX{-Nz_A}SMVN;sN~nJo=md{}`d*^G z_rN^z9smX~3Q*`1B^kF+J`Y6+(3P$?y69;QN4vdqUgmJI5r5RTUsB1t`T_~;EAMUcil3TX8|6djrV&2p z5>Vid8a+m?P|&Ml%=O1BQ)OQ-YgRLUPBXsj zIJP%qRW++B`g3|2b47nvFJq`@P&Xm3m*CCl#ZTyk53#q`&|7mH=W)t+aEMbx?nK`I zd=GTzLiw}*k+R;bUC*72y(iZl&o}8a$DC5enRPN;pQ+R)vX*J&^L<7kpX)J9qrWgP PXcYR4T*h{7%LnOq+J&Y- literal 0 HcmV?d00001 diff --git a/isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.lin64.o b/isim/ComparatorTest_isim_beh.exe.sim/work/a_0883098610_0495709306.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..a84c764f721479b44eea20a28aaa12c010b8652d GIT binary patch literal 5752 zcmbtYTZ|i589ugmvu+w}6VejeLW~$8N08a^eTI~1XV>1$N+S~5T|jEm!D~-;NAcRL z@oZV5peAyOc9=Mg1V~kR$wMA0(T7$D3xU*xT)I4l@Jn-i&Ue25cJ8|`R@LcfBtob}$N}>27DWk}7-Q;gb%N|9NuqTB5LbFdM3mka z@n>V8-n|yTDt}e}iaaOJ&OHkniejJSM8$E{F72jDs?+4enbX%ZaYAOCDtVhkDd!WE zqaa5)ef@8>mwY7t~eFY8#Mt^>wc!3<8XpHHEcgOJRGIOEKbWPj&c%4dM>_0yM=#2rwj zdrf)nsC+~|DnBo4SE<1#sX!bwxr+U_K_hbak7M$^cdNFWZE7;v-z6sd z7OH!u6fc`({|-fx{Ts-D_&f&YyS6z+PtovjTD(l$P||)V@rMj0KAr48Emqb}aw#^G zhgOG73NRgBh(BOl(s-+ezK+q*dFM)KS=G=G2R zpRhvgBit>;ZgLx{-Qe!1Q2W4J*ng)pyK{3qdJe&5=X^IlLWt_@b0^IH-Hh`sZ$8yt zgG;GBCj~6k>AnUm#ePq*uc!=3v9HUH#qH>R7+*P{^nP}jS$H^AitR1C_3j&V#W_cC z9W*(?-4M9DCU6yA{V4`;DNf_N{U&Df8_ebwW&+1dO13o*nKWGnMn6WCKH*nqjEwxvrSHj-LXpIR3v(DdqMXfJkl>bL}` z@y&L<)(nDXo8tBM(vsc+^R2d}^Dy@NI##WI+*i;SwPR*&Nyq5_Z%Km%yl>&Ec|a!o z)&Z3bhh@A&#GhIOh(@mGBej~-TT`1-$KL8#OCDg1q?49kDF zpa0i=BIf@Q_^3Y3AGb+x?os6xe?wlx1|z}vu^o&Z>6ah%lmy>6`uP}qLH{@>!~EY# zc>3o9#)JMn)?kUe5%Q<|gFWbPxRuz@NRU5lgb^P;$+3acjJW4i#N7d2M0ET=1I;MJ zlko#}?;xmG;ET8`*6X0-ra%+<_xy2j?-{^wA0d9-A58ad@l+vB+canyD`s9ZNSg z@0t1l1kc<0iluj4Vn?^M`ig17yGCmoE!_nhrtt#k9y3gM7F%Ym)zOaCpt{^PEtdeV z*``)s)a%DJ=v~mY_A$+9HS|@2?_ce(mMco|AQeiIL=0WmaeOurt+O((<;#UEJfw5E zY+fjrq;e^z6$JqE`C=|ir67vsl30*RC7}SX@m!(wP&&mz2?8mX#e6|1=C}5f6UtIn z62+1v79}AM5B@FPWJ`s-B#Dxk&xt~@ED0r1Vw7-!)0{|6BN_;>FXygMk4cL)le$oRydIR5hk1?}MJMe#idf*>ESM2a6k5Cr*n zFH#)OR}|!b96pNABM1`YH$8ZOf5yjy{PQ9F_e1!94&grz=L8DIiFXN&bH9%V{Z<)1 zo7Xaf<3E|Govx4L{fuKvafjjK+neG)W^mTduNfTw{-XSi5c~rM$G0oxk77a~!Mu__ z9-OZU24~}WF$DhsgR^=4kipsb`wY(V-)3-@|CoO-V>i3r_V{=({(_GO$A5z1<3Ho* z_~;CdYo6jg22V5iB?kXAgKzkFFwVOSpItu*3>+jF=Mz4TKWv=)8Jvx?&fsa#q4B@U z;B1^gaRrwP#!BBk^qoX;`c9&EC&lUe!n5MN%kdRM_kY^&K->6H5&a6qshyVsIQ9El I0H<;O3u>NSNB{r; literal 0 HcmV?d00001 diff --git a/isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.c b/isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.c new file mode 100644 index 0000000..77dc387 --- /dev/null +++ b/isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.c @@ -0,0 +1,157 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +/* This file is designed for use with ISim build 0xfbc00daa */ + +#define XSI_HIDE_SYMBOL_SPEC true +#include "xsi.h" +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/ComparatorTest.vhd"; + + + +static void work_a_1038528572_2372691052_p_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + int64 t8; + +LAB0: t1 = (t0 + 2784U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(45, ng0); + t2 = (t0 + 3416); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(46, ng0); + t2 = (t0 + 1808U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2592); + xsi_process_wait(t2, t8); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(47, ng0); + t2 = (t0 + 3416); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(48, ng0); + t2 = (t0 + 1808U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2592); + xsi_process_wait(t2, t8); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: goto LAB2; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +} + +static void work_a_1038528572_2372691052_p_1(char *t0) +{ + char *t1; + char *t2; + int64 t3; + char *t4; + int64 t5; + +LAB0: t1 = (t0 + 3032U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(56, ng0); + t3 = (100 * 1000LL); + t2 = (t0 + 2840); + xsi_process_wait(t2, t3); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(58, ng0); + t2 = (t0 + 1808U); + t4 = *((char **)t2); + t3 = *((int64 *)t4); + t5 = (t3 * 10); + t2 = (t0 + 2840); + xsi_process_wait(t2, t5); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: xsi_set_current_line(62, ng0); + +LAB14: *((char **)t1) = &&LAB15; + goto LAB1; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +LAB12: goto LAB2; + +LAB13: goto LAB12; + +LAB15: goto LAB13; + +} + + +extern void work_a_1038528572_2372691052_init() +{ + static char *pe[] = {(void *)work_a_1038528572_2372691052_p_0,(void *)work_a_1038528572_2372691052_p_1}; + xsi_register_didat("work_a_1038528572_2372691052", "isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.didat"); + xsi_register_executes(pe); +} diff --git a/isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.didat b/isim/ComparatorTest_isim_beh.exe.sim/work/a_1038528572_2372691052.didat new file mode 100644 index 0000000000000000000000000000000000000000..10e428c6c3fa5b43db756912b35b1eeedcd2bbf8 GIT binary patch literal 3676 zcmeHJJ8#oa6h5WAp{OuGg$i`2#DGW~r+F0#6`>AHEFA%3VvAU9>d1BjL_; zkE_TC@L-q7QVh*V9_tr*zemK{E3yo{1=fJ2-68?>8gi6@N5DF8c)!R5Fa_KM?gPuf zOCTi0qiq@+fsdB(TR({W5t`t`huU~GAo2xRk8tgX2vI}*tH1};Gz2^WR)Ar|jsi;u zM4AAJI)&t(dUY;&Ul_5ma8IBWg5yG2>B!N(j*j*;pSV9|lH%=M`l{m6thA{XKEt7^ z4*J$&0rXQgCF9YC=aZ{?VZ z)NBy-eOOLLD$dHxCV7t1H}Xr%a{wnZwAJUMFIC&8rj zB^_(C|LZS0pn9cT)ORXA#~-cu|wqwrDI@v3elar;w*d@!dz3gST-Y`8gaJ?zp4>I$$9s;VJ90793ExTsUJFX{= zZQDk}&J`^lBP}u$x2WgL=Kf!8+((i>PNJ@ zZBxXflGT7^EcJqrIC03O;sD1=m0BKExPruiqfaOm2$cf}0V4eW?2J8`tOJ5y+MSti z{@47wGrMo+3p3$RhzJRh)1*5Rl#oV3FosTv`!fXFSFi9JE z^22LF{ZvQ2Ssyrn9wC$QYc%vLZ83YEP}g)=n4a#Kw))@N+1WwIw0R*BrOk3;fY$HD zS>D^2_k%*CBq!f~cvtd;=Ck086dK>(9qoF(z4!=f&E{xxGPgy-EEUwzJ38H@8(Z}A zpI)M&E&9!0kh%r|_N2Zn#YuL2w3IlJPMlOn=U>G+*(t(s0&5U0G)ue?;0VQb?MiaG zR#`J0)2lfPmg^bFj3sMTx7IBk=daY9i%HW+r^a&GOfEZ-F*0KlnUl|_Q`wAOvP-5% zlB>0fm9$+exni5us-1i}pU+QZ$EQjq%TWU8msd*!eHuM{`l;=IpPimQrH#zay?R_5 z*T?kIx;65Xlc0M*laZuc+^L$qNE(9_GcqFGj32-0FGRX(`mBU9mc9_O7xT_2&w8Lzg zjD-Dm02*{9%8SnjUJj0E#~Ut9=@5F@6RvSyDXyRT)BG>6P+_8uOF)C-uk-jK4#qzSm#`Q74PY2whgY`~`j=Izj8d!Pif@BCa34J$u&wD6pVZ-{o+V+lxlcAIEC$ zsQW2TbdgVDoI$um{-XZ)OoHM^;X{uyqy$ukzEZ#)_4>%qI{{M=FW5^YFcZu#sKUtm zgWLmGPcuUBoC>iW;G5v{KzRnPFwBgw5h1sDdluRddt-ed>PBIR`fVTk-w)o8Nd7ru zO+@muoAX0bhR~0&H)S`(eM$n>TV;IjdJ#tme~3)Szq!y1LjFR;y74_0H4$^ze%yoK z>A}D4!SDCrzxUvz$FGH6Bx{zQO{a4E{(%^4Mw(PtQvalsB6?w(-O~H3+Ev>l#%y8! z%v8acpP4zIUoaM?&J^+nS$A#2u~ux?vmC?!o7#ooFRXaUvs|X=TAoq7#_>4{ z{uuO8a0eZQOYkQVf}kIKO9X!!AqeU}4wv9_2tiOE-&(;J5rUxp5L|-$@2k(BkocR> zM^XK}v}=rvVTM3a-J^dYTRp* zzU=1%iOX>}B`)iKC2=_}F9X}@7`x4ZILFWox!C`Fn(5=Iisu}P#_ql@>I=#74l>yf N{?DKY-ex00{swj8Nyz{J literal 0 HcmV?d00001 diff --git a/isim/isim_usage_statistics.html b/isim/isim_usage_statistics.html index 0f07ed0..f002221 100644 --- a/isim/isim_usage_statistics.html +++ b/isim/isim_usage_statistics.html @@ -2,14 +2,14 @@ ISim Statistics Xilinx HDL Libraries Used=ieee -Fuse Resource Usage=1000 ms, 658120 KB +Fuse Resource Usage=2590 ms, 103952 KB -Total Signals=48 -Total Nets=239 -Total Blocks=8 -Total Processes=26 +Total Signals=9 +Total Nets=34 +Total Blocks=3 +Total Processes=5 Total Simulation Time=1 us -Simulation Resource Usage=0.04 sec, 271904 KB +Simulation Resource Usage=0.13 sec, 275152 KB Simulation Mode=gui Hardware CoSim=0 diff --git a/isim/pr_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg b/isim/pr_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg new file mode 100644 index 0000000000000000000000000000000000000000..3f0864ae063808536c2621518e8b3b4a39ed8edc GIT binary patch literal 3803 zcmd6oPi$0G6vl56EoxExgF>x#1aXQ;-%Ke58iMkt2nm|T#@HCmWIFRKlT7E;nK$jU z8(bP!x?|x+-DyZ%khn30r8{HTkhm~0#%M@1l14Fx)bID^UgmZjC?+gC>FIawIp6#4 zxqsf9&o*BC`@)stTgxjeD<2tSj&&Kcyv~?ScXjshyNx-x!I-o6HvOynjrr~YW3~vt zkTbqVIz4hpbu>M09+E$4t6PNqnh$$KZbLJrxL2;J%#2(uCZ^DQD({n1J16&RKWO}v z9G#!qYF9Pxm#>%q*;eaT!zo+qQS58>Y5cTmTE5xVR{K!7C*(>ecJZ4plhA+uTUA&u z1)TmdpEIJuHsz@62n=&#KIgb{+m)ll`ruev!c)q;s>CxwG+1XRolu+|mcyFhz(Zhiwgn&2xhjo6tj?G?%ylar-f7H7bpDdYb*pn+^|f?1Co0DH zhz=XMUe3B+x(%Jjtd5W9bW3Ba)j4q!9eUhkpXa-Uwc|CBMwEx^zJr`Q_mLdt&i%R) z`!y!dodh}CPTY0ct5M70j=)6+u1bmV?qJ>b!i_PHY}rj)xR91_CicM>jr``ni;_j}kGr04_AI?%?%m{@yh9HEc4GS9Zu#tI+Qz(#J8jJS zuuG1)eu#Ouc{kiUeJ98Cf-xVuZv19EKX50;XrnWE`I9cc|MoHS`St(Pm^EPTZuqgg z7w&!F4r1I1j5^Oz2dRV9LB3@m`wp^KAbSDwO$E6dAm--e{^c|XPDe9gP^yK&bSYn{ zl!B9EV`KaF4j(QS!)h>F9h^H|G(j{Q2a~08snQ6t!-M;RlM`dPV-rB2dO4_73qdIi z!=M%ybLD8dRLEtsBg4tVr0Pk|q+d&$^rSo^&&uDBzb-!|KOx_pHZ6K?E-b`RHOc(9 z=bIha#~YdKs!26oT$P}UdctaJkEEz=S?FrguCjO}Ukm+lD~|J1<#2U5Ntx!O63?|L zZMha_u@lKAEsH+?#cCx@E{w@#Q9U*b{Hugvu{NJqYC2vp z3)6AKOqJq7RIkLQREfjs&^wIefLmwN99F|xRIbORsM74m1XJ}=xfqng>3m_KR?23A z@xh@?b|iBkyC7Ih<3(fX8HHNWqfKbyOo-LOy) z?1!uDnK}2&nKLtI&N*{uZtjg`6&IRvauj))l&>k2TQ}OzQ2bX>EI(vff%7W)ieEWP z8Lx~4W(5BE*&TvBC1Ht?M(h6Frp?-pK%#uDf?p#awO+C{G+j?`*(HZos)Y@$xVy4 z@9lZ^{_3ai*OtFR_s+mS+5cKkZO)jynG*?jCjOm`f2()jdc(tiz3uv`WiRYK?dLD= zxc0`ouHW(9%JH=;c0B!?*gJ)9KL2)M&N*uz2WiI3k2@q&>UAX$>F~`N@XIpb@5zAw zHSiYvOTD%Lk*?l1GT=YVfd3piE*&2y@D`Lwy+Rr2Yck*$WWehg@DFCdZ_R*jguSaCBu(aEM`CJ>Q6+6-wu3{^3K47l~n@6!9szL z-6AGX^?mquiW<&r5<&k>Eq~ldcC?|D2>07x-CT{(KdQ zF-oD5e`7L!o8Y6Q$fHbZYK~5-jKCVSPqH~nJ(J33m8rp51SXY|wZOkdvKWy$^{tV4 z;l{d1V;J^AQRhY)BT9Q~M2#+J4y&!p38=C4OHLA_#b|!?0Ldez#gqQND7tL2M6(y%NRJXRKRxmHps5ZBRYt**J z2(L)=1Bi{ilc+x0)XZh9ZHdfB6Xr%*K*%aq=Te#Grk1FJPD-%5F03{-L89ttO?{-X zF1dk8&8C5=X=`br24E=pxU_^BM;g5hRVH(63AZ*iv{BcqbK4qg*cedZL<=H~bDLNZ zk`anP4OXBtHRM{{3P!S~c>#uvP-58TRYx$8VT?sJZp>+I<#?bPYZW#i&8pqyvs-Oe zx6enzs(O4ro5SnzdaPcr2RH0apX#zYJWhwhWmjg+4yv` z;QG=g%9CpK_p$uLlqZ$y?_v1|DNm}@zmDa9O8Gp>uV(q5P@Yt$zl-JXqC8E7{)H@m z8|6ub`kPt)7Rr*(?65tucJJvO241wTPS}L<*h7#E#*mN`YkL!hw`K< z{Y5PQZOW61^eZfXDdkBu`uBYT#Fs9jJgG$gE|#B4`I9N%$MRpLJgGo`56jyrPeb3o zj^)p#JPmpOYL-8n@-)=_T`YeF#~sc+AJbmIAxb+QIxiCJW`A$ z4vOtWHgwaTBFb(2XKtutPfp0UqqStN`K+J9ppNjZ)w7j}y z#O+AtP<2;6wR!H`xuxc_7NH4LZpxaQEQ&()M#pSmD9D!oxCQk*HL&Zc&0SrWF@dy? zL)zctAEC`5eaaNHAx{1I_qqVUu$-vRg7lv&MCSGtdMEv0Yym^hr0 zOTD0(LRxQp17TuQD(P+mzx%@X#od>+x8wDMBhjzk+!eSya9LnBlgJy21xq%BVz+id zTS8jss!*(Qawt|&vLvLPv?V96*FT%oKGgYs+mw(tyX2mZ>k4wBzKYnK{4#xfiGFRK zZVG6BD>JX%6VzTZuiYB(J#)j?Lwc@xJs z@7sFgn2rwzq9$$Q#Es^)&zaZfox11Qjt_I%_U+kQrtJj7=gVRRxq$y0(oYI#J;C!# z$mEq=XkPCe88olYnI6mQ!M~AZT2F=k>n`-!bZ{9NT$1C9n-?uWmj-iol;u1Z)Se1X zd@ktg|K9rk8epl#asQI$yd^V7g!G&9F)hvOfA2T1e?R8L|90(tu(58=jx40#*^GIN z5~kD=3$tUKfovGY`?F)5fy~I*za(0+7kxlYpblUSpGB!qY{aRHF%3%gUAdX5;+_vS zkRt5ar}gdGD=5ZwGKvjk2sdva^S4=Yj2k%$@)e6Rl2^g@B?J)U?#$#n(vZK1xd3sE z!UVo0HN9MWKd4`quTRa>f+cZ$F0SDOx;0Iu?qZQp~E`biq|6ebFa_IsXjiyjGU;T2PAzC+=eWw(t1`@39At zq!>2L@qv=8#1P&3x_Ry zjMLWn4Bg75I>~oPMVS@4Y3~Hgwvg6M?lq*X*ac9oJ)>Vy5Q=q@OAl$C`+)0Z@vc^x z2iKJk+>Qh;q^}?X?b}p>oIdW7uTL!zHAB6csu9p&2f zC0A)XP}iBEjq$wD#$9=#iBE)L(Gqj0UfMAqnJBsLRDx#pG0Ue-==m+KkfF6m+Z;+*stLGx^PHf3K}5 z04}IMMv`6_n|wjgyq2X0$8Rf@<)akT&jvFZyr4b-IJ%{`8*%;rAkq$4 zmVovIY|(2Vp_-w(d1u2G?Zvz#Be06P$-L-8{N}Erii5e2F)_6L=XFxT$NK07t^Y;b z#6a?aI?sdzHQ&6}RIZ=8CC_Bq^Q&R*=I&W>%xdfEL)O+&2*NN3q!>p}TTKwcsI8lr zP@gev{qwuSYU_;akG8hb^W>2B7)^AT*fk8SpxKvdVrf#O8cZ@e94BTCGqEvlVq^R1 zF57z2u7FYA2%{XMsmgI7y_*O^9a~^xyH_Krof*=(ActsaiC_F1?=an^bdv7w00Nfw zkt9n?;*h0%4=@yqjf28N$d!aWU0bz{uv|?2Oudt`=Czx&&PS2Z`Y2dp$s4$xT|sTo z&w!l`K?I}b=0gcWI_nSpWLQgQnI)39>m%RGX?_!jOXbrx+o zFGn=NymlmPB`oyBzaSFfMwy8Tqg()n-BbgTg-Z%d7qDJ6FM0}f=vjj8zhcH*X)Np@cB^LP8x2lcu-{z z$=njZ_{z6v09H`C9P7$Na6luS8XT-*I`cV=bzLad%~iI0B}ny=8q-n1iUkMM!CYab znjknJ{T0Chn|S(}P}LAAk2~ zYwHn+6^?Fr+wgkAEg!A$HUbfhnmZ9na4d$m^dR-%!rMu&ao5j6_2c2~VG3`5^HK_jRX>B zD6lcXjtitYwO}}>5Tn5g>J!=N1Z+LfnCezKrm%ZEWFS2xIcXOmM&g;*=Fm>r%fX4S z2ekLZW(0|lx}C5exJ>63sI$zmHt_9#4#`8?PB?%ty!)I(Ru{AY(%$Rlb}3sARFHMcQY2A^^rn zH!eTgCe_Q2&{FTgqmw(v`L5uS&R(R3oTNQO%Q|?-R&J4zdTMw}WFz9;82S2MI%|P= z*BBd6_>(R+SOYvx-RdJw|E2{+ycD+L==7nTzjgg@!ornn6@y1?s3%Asf+2H|on94( zSaCX)PO4OB^#$hjtEi)vRE`5F$*U_Gixc5ysabS{jJ&0Ox_ND%#*VDg>99>VT+2@2 z#;7ScQ1(i^6k-^)`znVF^YwO_#b+KSmp zsysepbRa^eTl)V&EkJa@+zV~0v1lW4zE3S@ZfB8lB{A7q>)cB8>SjUvc`+A4f_=jPB*okr;4Fihh1rkwVM^hlVkHCY zy#UNj3h64e&Xo+X2ZsoZagdyaSNiFLucmDPMe3UtOA?F?PfCeEyRe`l_Sin80==UsEDvaEUU_Ufy*fu>D3_o- z_APn?ZYC8#EY4eYD9?{8v=8I!9v>LE81Ky`4!ExdTNv_}*qVTK5TySKf;qeyNBx9* zeeB*UYAD`;<1fgGC%u6S%0RG*FDOW4ipn1#$lmABRxCm~pr6HJ@+i_QCO5|(M2S74 zD)h(Nf#U%>wT}hpF^FiKIv4j4i_`QNdyhgFFX5pJD^Wr_RhyBgT{!q=9J(~!n{m`k z^lAwj*3ZuC>no^r=vOD9K2Hr!bnUn=D9Xe1=IC#y;wQco0`-3j6nc!BW?uUge}1mB zmd5gO@dhB>(D)K2Cf{VJrwU?xouzeFAy=WX219@P=$?KXpMESFM|4ie3jH_qrZk$j z0XhCM2x%UF8H89G-^9!LEvij^>4REID}{6LK01E`6aKr0aj>A`E|$U>{TKBbxuBD2#pQ>R5nY z4~YY#7Y{xsUx$3Ji6)x%ZA?n`WPM=*2l0tOyJqOD=FiLdTd0D;RLOt_hvUSUVOC?* z_W=zNs6$c>$(jTXeM|)6Nqi-e#u;ypk={vZn)O@Ev9;iEu|5e>Qd8OE`_+)tIN7TnB~E zQ>J_~)tQ*`G+WWwJ_h7m-^y~`9J!v&b&YvYbl^JN<2whWmO-6fr6HEO;Z>T#htUN| zTL-MAnf&i7zabge3&`ArkiG_WJ8&eP;QVe7Z=62_QsVq(KzjlEVsD`jyFdcYUnvc0 z{i!eMbP_pvc2Z&XlFk|=*h@O6O^=oAF3Z7R&Y*X7NTryyK$=>gFc73R=0$H}s^@Zb z>ZCqSHV}mIb+!{FdwkXZNM_5h8dMl@QW97O>dZl(PqIntklyE$XsJ_`+Rt%lClL(i z^ZST6#pja}CSJBAg5i9A4-vCh=!MU(qypsgS<4R9=Rf-E6rWE$gBhRJ=Tkr7Uba4; zL?)k4@3TptzZ7Zd^Vty7b2x*~Ux@qM=TrNb&;KDrG@5}cHYEFeRt=uTv9Zn8=TkE= z+l@Y-T9@MUMb|D*7=Qq*RD2}_5hqhaf+Pa~pHB^j{!mR7 z`orA4v;Fp<{sl3~g6z)(P-){_KYKlDNSfl-DNFryK_?seWg}oi;nS(k z{(StT`t){qW4!xJVq@mkGq8f{=RSQkQgYU&_vzG#RG+>ifkW>S!Eio(Yl2uy`1Gy> zPME9%`t%J{f=yjv5QR^#qS|Q&vliaed+0v>{a=w14fW~NSwnm}_1i)CbdsKY`a=YT zPhW&IoWw3dkx!?4TK7WAc2atXPp76bpS}%}8YlD8hlo?EPiJ+~QIUFLoZTO|5HH6k z`E+V(icc4PJu`VqKMApfPv`Q(r*9{jS#$W5W{pho>FrcOVoI|KE*1~^ZQOmceSV>8 zsS!JsHb(51Jc!mN9X{!#5hV9*N%JPG1?0l@uyBBR}UxIV8`=-9h zXGXp?sVP141esj6Hus?>9YyhZNeVwE#X11p3|6^vK-3O}U>-3~Q zSVQad{BvZ~4X_)mi zZBl1F*)-#!`KALzPU#Ab}(`iUP3+wc=A0X>K)TfIHeGIMB_x~(;vTtCL zr}}jAWJh+L{_I(DozBAacOcfMvQB4n{h-$AbAQU+vEff%(6DA&r+Yx2#pjcw&gAoH3=hKRlgNi* zo&L`K$!6e}5ZUPSSv5y=oxUt#0Q|I0-v@z?&^n#F_pH|G*WH&o*AIQ2UP`=YITaw7Bf2Svvm>HXZviOL_Q4b^eGV0IL$vs-srPg zHRQ7^sYi~)etJP-g0ubf$q?YvT&L5YR7dm3kR529{>vYs3l7sdJxsiguhah>*6G*X zo!+O537zcIyP#)?%(M0B6c-%6{q&iT)HvCTR*~eXKAqJ`KD`Q+XZ!4(8k_Zg`qTLE zoZ$#fImG%@*6D1nAJjViw|AinlJ?k!-A}(2l*Xb@IPjd7$Ovl&hSmtSo zGjybcM|(fLVP%TXCl7jTt<%dPqR|YT@WW)EPtlToMAzwB!T_)bbs-3Jgx2ZYy=S#f z|NReA=lY?q(-#x3^l9vJJS2~V{e^)JtQ?w=E*D4`E&|z*|Yb0B(nAC)YufC zP79dy`{|+NDcuIK4);2pyYGWprw`nQ)@Hv>?*n<3>-2kxbN1`>`9z-NzG*~;bKn2k ze!BSfSj;trmN&5}zr~B0aT_%+HszwvTkt(OO4Ik`%guds74`ppp@P{&7-=*8*>GqB6_5&r)fVj6z!Qb;#%43U4o*0s{On&rCh(GWCGIoRF0`aKY!_|7NBlW;*Vlt zfNs>q`lBUVaX+ZHDM9U>hj9jJfPW>37{s@AL4c08Mg=~2xlDV1ntA;=k9mExWEA5u z4_a+r-$w}iMSU>sW_Dui5|e%z+EP)Hujk-2+oA&S?07RryG-%*nU_sRj=g0$E2RAs zEwE7w=!0_cucNi0*duF_dg6AHE~JkMX+`muAx&?`644n~;_F9@!`oxQ;S}QVF$lnc zzGpYsm$y^<1&6yChxP;q`d-iu`bN<%`bJT_f`6?j%Dz?<;@p=LcQ91qKW5y2Rz>5f z-=0rz_v)^%VkARae@J`1T>o19X}lp@5yKaZ%C-Iqy<-h%LfT(K+NKJAzx^K&P;UYoL}z={roART81i=_>(ACp+CZ!6q5}k z;nBb=Fg_KD2KM89+j4xijkobHxPc=WqH+JVKe=%sG%gPQgG0d^_aCUOx1-X~ID9#$ zf!b9|?P@6TQ{zGv`t>C<(ZWh&3->HT3-3>SGVt&8H9zC`{1UAW#m--QG9-_EX$^st zK43l6vDaHM@wI^VF}^Vt+W2v9x%OiG_88jG`M2nJ+aKwBlB{v>pZ z!96W+hAAmxN3B-v9*5J556$}A zs@3MTxn1}&uyyFiX%+f(Fg`}ySXv1^)wYA|E z2vmxXFH==HpUb^~zA688q?e)_EJznaZ9;&jVs3N+P61p4*aX-E_yfQlfLj6g0lp2G zk5^N^0JCBNbOU+;uK=tBYz1rvTmrZR@F#$)0e=lhpTv6(un+Kcz&M}+14|#7znKgz zVBzhM18@Q$&6Otrw*q=$1>=AZ0Fsmb_MNB~FdvK7dca4pz+VZd{}}XuK0LLi!*Orm zVg3ZX76VY!547Bg98J_FlpsDr5XaKNB!_@c`u@Vz)yCf ze!v|sqkh15Uq$_Z58w}K7vl@2_q~bw0T;f7`T_5H7xe?qejoJ%&ioMdMHDLwba6(}rPYzh<>cjN{OEvD}m76TC|%n#xg{o4w+;(xJZtr`1d`Shc6RCT!g;||6;(~ zB@&SEkK*6`Y3R2DzdjB9Uf}!E(C4AOucVJumM?*souLZTZwOqZM} z8$xo?XF;i)@jK6iZvg(EDf|e(2>AVJ=d)jVB6B& z{|fHs!S>vZ`@aAk*YjzkM^<-?S~@Z|Vp-m;xw)~N6EQ8ArV_mq^k=|EWlLWR`jxO% zzcTW#H}JnLng1Q2{~T;uUncrHlIfoS{Udm&lC3=Nf!+rjnJs-u9&GlHvX_50=)d~k z*~`BO^gI7w_VPRg`bwNk$X5Q{p#K97hh*FTj0Ghw=f!?|Wb_RNppf}D( zvngr5m%!Jbfv}wIAuvA(`!Edu*`UAYnSp^1jq(h`e-Y>#pUYnUhd^KaLiY0S2EFN} z?ByQ^+q(2m*~=dU{pGu|mp@9jdw2Ho-vjzL-^gD6?VykD$xeRqJ9&t?{BI*RLs|(I z7S+JHR3JGX_?f_y-#&nU3>MBbtvIpJ3y+ETW~iHFeyRcd8usCJCaQ<~F~8A|Eyew1 z8Sa;$$OE{)4)dtmTxN>pF3np!qGP1#J{f=z-FDDj{m#I^t3)@auTnvKLVWvF(plVc~SXsh%JkO*9iGdDyJ6uJ4SIfrb;QYEOHzk?}6hz z@EPd=JR4+}6gM?5@w;yHvm}{5x>DiQ!e|um+e&ePpQT2Ne7-3Ef1eCA5vt1M$FHIw zG+|fKNI!#c#q3&V@-qn2!!PokxcKT2_K0T{<64YRf=t=JyoymsTQ)%?$j;FP7s#&D z1l)ImpQR+eUF69%(bdj@0u#wDSwEJ}tUZ;29{tDivVUNnS-wcz7sZb{u;PJOy6ZwQ zZkG!E{9_r1UlaBAPv!X!zRqE_z`LgK{5@ag z@Kq0o-*j*f!1l}+5+s1Ny{umB#74TGnS2A4Ziu)3lh`fXnFQLRsDDfHC zD`vq@!gqyS5=y*;5-*{|XX3v^@RRU6A}^uDODORYO1$L%k?2>G;B$`X_n?5=Pxmv@ zcLjV#&_6HWh_m_qFADf8G49U^_#;7gkASAsTTdPvMG3EM^f2Pyai-Os>YxmvVK!b?Q{@)Z1m z?q}j(c7vbYYQCPsQUUk=C(kduj>GBGrhU~iVfu{ACR&`O&QgyBn;3Sh!{)F|xHMdA z2~|fq{(PHfqN0?xE|?dso&y+d;jmt&B8|~-OS4ki*c1(y2BwyuAFZwvxw^)-(m8FB zhT8KZwMr@F>Z@DpmD1V;jo`;&w1wZ9A8u)lG&Lq=RNQL`H&jyrk!o&;Dy5Oe2!5mC zcKpso2KSn3tE1IQX}DgU+fqF*tk&0}tjsB;HPNP)R#eErwKcd&1&BM!GD)iEMQTuc z6L{jMlvTu;&S^za?9W z<*g!)vx-1}A>A}wvV4)i-2rGUzfQz!>qc{e%I_KqBPq*C>|ID0%gc2{{*9cXP!b9# z>zC-&NKkp%|HU`@k;D2yl;6j4gFmwWBxVf~#`5_K{p?o$LIxDtGc{hR^{>ZGWBH=* z`x$xB_Zd(oCl)w7pIUzp&{RI5=3Q0D;p*ZbwZBr!_kzq=zDSfW%Ch{kDdjDqyhW5x ztuHnId`kHVqWpv`%kM&Y(p}kpxo)wF@~f$FxTJo|`inSP=?65nzi)XJyVJLv6HMSB z@hi(q_!jOM%gc4;eo?+JQIaQQISD^VDZlHsDn_{LHbE~ZKF=x^0VVht3F0N&zwgc} zb}N1-1B#b}#IJ0(M9^OpB>AlpA)qXOehQvw>5}kdq-EXghWI&UB~P3r2?ahS%lM>B z5k|RdH7{Th0-uTOH}FsPo3u-0=F-)#{F0ZyFQvh$^>eE9zqurx$v@;~F06(0v&Ngb zkVN{=WPD!Yc}6mR1pJ)eh+^qnXsh&N#+$kD)ajlR8GoW8{X{bU zq{MTXWPE`l?RqkPv?A?wGQLoeb~qVthF$d=Q7oMct1j)P@n)`avLfwaGJXu~Ta^*T z(z(i5McOap&0OsMOS_beKSh!DCK-RKBJD^r{xn6}hh%(l;(1as{&Ypo=VbgDikzp( zc!~y-FVa_&GFtgl%5w~wcX`S?1Ig5Ue>+DR+CS%lFegp_kbTHW(?4avQ@u)|AF09X zN+ffXLHXr;x>n%#3;QGIX*=-5r#XdBCixdJK4X;pl<{58@Fy#wrGBIauOB0sPX3<( zPxbbF&p$|v)JFVE*Ka#A;NJi~M>$=o6732hPuD*DBmBZ2R8ck&m;3{1!dp}D+|Hhk zLs3;ko}efDNc4RxlJTbq{6c}3^`0g0mK6L%f$zD4^O5upfmd$l_%+DWHCfu&@Kq`J>jl0!1>Y&~s|8-RcbUK|DdTk~ z@ae|=mx8`W&`;pqqx=SV(jU1#W_x*}cjKOpdO-7WDJI6XEm z#CrS%K_3u!xo&?|;O79Zq?y;P8SsmN&%sl08IK4y%H0e<)V|#d{1~)XJ`ZGP0Z`~A zfxkn{UtKVIS>WaKyHf=IWH@8uBcEHcJ#E~(P~cPj#l-?IpR3vhpPLwds2{mm&|Ag4 zh8XO+li@|i03HSDY^iSpV>UZvB^qj`{+b#IqWcM>PJ41m~obh>QATH*GEc2eAm(P`@JQERMlbrcuIG>wEfvXr^ zmL7yHf?hrsmhHVa13jI$EP}tAu(E292~v*%Z%M-N%r?PaK3A6GJ|OV&xw&kweH52p zKA&f2J;16C_>W7pVv$J{}Fik+*{WBvcSvd>52IQhVa%yE<5nl-qim0 zG5XPpeEz=^dQ4Yi20k|ldifkZ%E^`P4D^2x^zymB?4J`5Xw&!x&h|47vR#uIo}rR{ zE)(=}-{N5*=Pd#+_Y2q=AC!C?cuNuoo_Su-%l#9{Cm-u#l1J{7$bOi@@Ix6@3VOLe zA^Tq!c)4#Q?a$*G_`Hw-|Aycr_hDqa#-GIH^b32X3DLeH@N(aUoz=p47=CEn-j#v=K87Ey$o&+l4}TPRxqtLUQI)xX%P;qi%0^wzO{cJppQa&HFuCo8&NjPJWZo zk5=SH(Y8IRh>5bl1tT!@>#Q06}ezi z?xJaiTeVGUT|?8H>IRkVIjGfb?Fzl>(GZT}T@SazWmo8i8mVokoKxZ37PW2j<}Hx* zl+6gTYA(DqaB-O`b5xB?57xpqI9M&sU}|_bM4eY1X@u-aZ^z1V_=g-cy_$TQpvIcl?tmeyGhMC3RSf&D-P zwgVAZF}k#WrB=7JR4-7&jkU=Lu5{}3ic6*jD%49Zyl__8Y;|^EYDF0|E_lU^z{Ta$ zhQ426wWhU==i6H&YIH$!SZxhQ)!Ojf>b8ccI={N1Eu5iEHkaGu^g8TL zm&5Bt%IUHC3<9Q?Y_L^!uhoSPbbAk62rqznJ#Mel=b^s0p+QbVMI?A@q^=Q`0Gpp` zcs^|+rmx-ZcKLjm89uwy?QnyY*T5%z5wF`vw#4bgsChgn;xUfGuv3C#koJ!P)I_Ph>u`bdWR_BH1HMK0rBz!^{ zJU*w#<+8aAV?c~r+Tfug^V%A!qqJ`>EXknbg6l{xqQi;~ce~ItP6tc|Ca-~W`XY7= ztIg+ywqe$yT^{QJi(o1_J?MBJX1mSfvf*TbvHNGu4yv|4jW7q zY>iR5(@TmWx528w8hPvl+)kgd+Vq8(zT-_!htG|7Iq?psapJMQ4%bCmam;~CUvo=S z9gY~Ncwe)oEgEh`&$D9!=~>&r(~W6ibGRK=OlzBKh(@$X=KMX+^nC0dH#*V|TLu|@ zZaa*wVF;3BWDMwi(}t$HNR5gYQk@xk7?a%Y_If-Hx6A8w8T#3`D~^hJdCbi>%f>bq?&hp`L|fp9lyy>yXD`Vnnv&fF(9C$!57*9_Zbb2(ww z4Qgg^%ENdM53GvMW{0&v2VqFP?o=BXX`I`nkW95L5uE#hhi`$XOl#D+Bz1EW0&f;3 zF|yXkbzv3fixA63Yw9D7bs2gSCdTEY|Gh3m6;|lA1q2-fYfLaX4veK3q}_km|Y zVpiMjh+th-yT@kq`I!bz#tAl?3tjB+8OtOMQNp$i<-|H(3GSI!(2g z+Dl!$&){cj+FDv@7B;}!Wb87`0yi8CiDPrwtmr<&h;ymfa10fNVorm-wRy?D*^*k7 zz7RrEn-zhM-R8jDL%?8Ekn}~2(;lIyhisui&h&*6k(nD&48^ud_GK6alxsV_&N74{ z>FaU39UeG1;_pIZJjNbOUxFlaIo$}SVR;-*EA-XS8bm&=O$ZkoYa23+n+N_7QHcX3 zedJe?qVFVKY6-VCHMCKTpw4Y;tYN`I5&@fy>BY3$Z20>IPWVJD>frfdtPNr!eyM3* zkgR(2*96p=hSfqwy+eRb(Ye(|ro!%ZIu2}oVIbXTF8;!T6Dv>%W)Ma#zXZ`-Eo^RE zYq*w80k+Jl4U;*{Bn7QvVEr)wSa!PXR@eXo&kUUcoA0(ctxmfQ(GZqS2P`q@XYGjA YZ3uM_SR@fXVGZN7Be3^*jQaln0rD(p>Hq)$ literal 0 HcmV?d00001 diff --git a/isim/pr_isim_beh.exe.sim/tmp_save/_1 b/isim/pr_isim_beh.exe.sim/tmp_save/_1 new file mode 100644 index 0000000000000000000000000000000000000000..d815201b655adc010a5572eba71230cacd68e01b GIT binary patch literal 1938 zcmdT@J5s|i6twKv;nQ%06bwT}oSIWWnsgZ_xbRG{7=J>Bf`W#YmV0m$PQXcE_gM~B zG9f0=WM`iAWUbz6C3!SVZhOOM9`~=~ywF+P3&V?W(Ca!C4ouHZap1~__N@Z{&qXM= zRzx=PL*wnTsDnk^vZJI$;v?3y+xxGW!G9D%4d;*zFhHCjvN+eXvPg6~E~3dSzT)H( z%D_>sasdTf9)ZO5I)2cV#0Mk^{Gdv@zR#%|DENe+c$D5Wm1vIv0mYz-fC3nbQZ?0v z!&2`E9=Oh$LJqGJ0Rt#k8IbzohfQN@JBQUyamBQ>SW&_!Vrl-{i3f*>#*`s7+^%x? zqDrHb>Z0-_*T_-~zOY9yj?ho%VCeB89FQUgDbM%w49c-Zd8j!Za`?->*qqYTS#FQ# z`U(#FNm5L8nHJn2$8wG63FZWki6DpIRtc04Y~$5@L$|tQ8t47HS+uQIy=d_ +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/pr.vhd"; +extern char *IEEE_P_2592010699; + +char *ieee_p_2592010699_sub_16439989832805790689_503743352(char *, char *, char *, char *, char *, char *); +char *ieee_p_2592010699_sub_207919886985903570_503743352(char *, char *, char *, char *); +unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); + + +static void work_a_2734820196_0181651160_p_0(char *t0) +{ + char t1[16]; + char t4[16]; + char *t2; + char *t3; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + unsigned char t12; + char *t13; + char *t14; + char *t15; + char *t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(18, ng0); + +LAB3: t2 = (t0 + 1032U); + t3 = *((char **)t2); + t2 = (t0 + 7008U); + t5 = (t0 + 1192U); + t6 = *((char **)t5); + t5 = (t0 + 7008U); + t7 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t4, t6, t5); + t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t3, t2, t7, t4); + t9 = (t1 + 12U); + t10 = *((unsigned int *)t9); + t11 = (1U * t10); + t12 = (8U != t11); + if (t12 == 1) + goto LAB5; + +LAB6: t13 = (t0 + 4840); + t14 = (t13 + 56U); + t15 = *((char **)t14); + t16 = (t15 + 56U); + t17 = *((char **)t16); + memcpy(t17, t8, 8U); + xsi_driver_first_trans_fast(t13); + +LAB2: t18 = (t0 + 4696); + *((int *)t18) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(8U, t11, 0); + goto LAB6; + +} + +static void work_a_2734820196_0181651160_p_1(char *t0) +{ + char t1[16]; + char t2[16]; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + unsigned char t12; + char *t13; + char *t14; + char *t15; + char *t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(19, ng0); + +LAB3: t3 = (t0 + 1032U); + t4 = *((char **)t3); + t3 = (t0 + 7008U); + t5 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t2, t4, t3); + t6 = (t0 + 1192U); + t7 = *((char **)t6); + t6 = (t0 + 7008U); + t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t5, t2, t7, t6); + t9 = (t1 + 12U); + t10 = *((unsigned int *)t9); + t11 = (1U * t10); + t12 = (8U != t11); + if (t12 == 1) + goto LAB5; + +LAB6: t13 = (t0 + 4904); + t14 = (t13 + 56U); + t15 = *((char **)t14); + t16 = (t15 + 56U); + t17 = *((char **)t16); + memcpy(t17, t8, 8U); + xsi_driver_first_trans_fast(t13); + +LAB2: t18 = (t0 + 4712); + *((int *)t18) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(8U, t11, 0); + goto LAB6; + +} + +static void work_a_2734820196_0181651160_p_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + +LAB0: xsi_set_current_line(21, ng0); + +LAB3: t1 = (t0 + 1832U); + t2 = *((char **)t1); + t1 = (t0 + 4968); + t3 = (t1 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + memcpy(t6, t2, 8U); + xsi_driver_first_trans_fast_port(t1); + +LAB2: t7 = (t0 + 4728); + *((int *)t7) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_2734820196_0181651160_p_3(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + +LAB0: xsi_set_current_line(22, ng0); + +LAB3: t1 = (t0 + 1992U); + t2 = *((char **)t1); + t1 = (t0 + 5032); + t3 = (t1 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + memcpy(t6, t2, 8U); + xsi_driver_first_trans_fast_port(t1); + +LAB2: t7 = (t0 + 4744); + *((int *)t7) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_2734820196_0181651160_p_4(char *t0) +{ + char *t1; + char *t2; + int t3; + int t4; + char *t5; + char *t6; + unsigned char t7; + char *t8; + int t9; + int t10; + unsigned int t11; + unsigned int t12; + unsigned int t13; + char *t14; + unsigned char t15; + unsigned char t16; + char *t17; + char *t18; + int t19; + int t20; + unsigned int t21; + unsigned int t22; + unsigned int t23; + char *t24; + unsigned char t25; + unsigned char t26; + unsigned char t27; + char *t28; + char *t29; + +LAB0: xsi_set_current_line(27, ng0); + t1 = (t0 + 2408U); + t2 = *((char **)t1); + t1 = (t2 + 0); + *((unsigned char *)t1) = (unsigned char)2; + xsi_set_current_line(28, ng0); + t1 = (t0 + 7117); + *((int *)t1) = 7; + t2 = (t0 + 7121); + *((int *)t2) = 0; + t3 = 7; + t4 = 0; + +LAB2: if (t3 >= t4) + goto LAB3; + +LAB5: xsi_set_current_line(31, ng0); + t1 = (t0 + 2408U); + t2 = *((char **)t1); + t7 = *((unsigned char *)t2); + t1 = (t0 + 5096); + t5 = (t1 + 56U); + t6 = *((char **)t5); + t8 = (t6 + 56U); + t14 = *((char **)t8); + *((unsigned char *)t14) = t7; + xsi_driver_first_trans_fast_port(t1); + t1 = (t0 + 4760); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(29, ng0); + t5 = (t0 + 2408U); + t6 = *((char **)t5); + t7 = *((unsigned char *)t6); + t5 = (t0 + 1832U); + t8 = *((char **)t5); + t5 = (t0 + 7117); + t9 = *((int *)t5); + t10 = (t9 - 7); + t11 = (t10 * -1); + t12 = (1U * t11); + t13 = (0 + t12); + t14 = (t8 + t13); + t15 = *((unsigned char *)t14); + t16 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t15); + t17 = (t0 + 1992U); + t18 = *((char **)t17); + t17 = (t0 + 7117); + t19 = *((int *)t17); + t20 = (t19 - 7); + t21 = (t20 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t17)); + t22 = (1U * t21); + t23 = (0 + t22); + t24 = (t18 + t23); + t25 = *((unsigned char *)t24); + t26 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t16, t25); + t27 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t7, t26); + t28 = (t0 + 2408U); + t29 = *((char **)t28); + t28 = (t29 + 0); + *((unsigned char *)t28) = t27; + +LAB4: t1 = (t0 + 7117); + t3 = *((int *)t1); + t2 = (t0 + 7121); + t4 = *((int *)t2); + if (t3 == t4) + goto LAB5; + +LAB6: t9 = (t3 + -1); + t3 = t9; + t5 = (t0 + 7117); + *((int *)t5) = t3; + goto LAB2; + +} + + +extern void work_a_2734820196_0181651160_init() +{ + static char *pe[] = {(void *)work_a_2734820196_0181651160_p_0,(void *)work_a_2734820196_0181651160_p_1,(void *)work_a_2734820196_0181651160_p_2,(void *)work_a_2734820196_0181651160_p_3,(void *)work_a_2734820196_0181651160_p_4}; + xsi_register_didat("work_a_2734820196_0181651160", "isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.didat"); + xsi_register_executes(pe); +} diff --git a/isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.didat b/isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.didat new file mode 100644 index 0000000000000000000000000000000000000000..51d698dcd46432d309278d411180d5c233a103e0 GIT binary patch literal 4348 zcmeHJJ8Tm{6kH(u6F?BcFNhQ=!Jor%>@+w+L81l;gn+}zo#Io7ZR`tnDjLc(NKhc5 zh#IA&fG$#+NKjEwrA!AYpe2%-vG;|`#j)3d0wSI~JG*b+&c5B-+w+^A#UF3KIQJCn z(|(ppT>_r&R_av%>$;xUqtqPYZXZBDun4RI{$8a9;a@$dRPQ0Bx(+M#8h8hM1ynbF zz(wFD@BpAKu&<4MM9fMkF4d!yAcMN2kvN9jfjNzJFp)$4tH2y|x{+f7d>2?p9$gr_ z0AA5K(I;SPQWuta$-6?ivrS-26t=2f7aw___K^j_{$_QAZkdO^u*ul4pKbPG*>(GX zeZb?|(DbyQ#5l>D@r>)ze$gj)YBg<*v9%hfv@ zcRbo)W?o7S)XQc??a5{@b*`bnRa7^=YcJ8(>)6<6>h=P% z{(5krppK8?sP^%STxz1j_4Wg;bmlQ`V`8oMyTts6H5A9e%V6;do6Ny|VSFDdC&1sd zj`;PywUy$2Qs)W=oCKc*vn~F{bA+Rf$(_S{yAQEfwT}47CMNgXdyGGg0nfFL_=Vx# zamIar0g!`M-#dL!c`Zv{5f#2CV(jC-JVOzDZiDazHG>)t5qm}ib_mN_xlWoaOU_Kg z`^(>HyzF^cNBKvhUbsKbv>5B}YYbg=shHmEGv1m(AzB==HI&vEiY_ zsN=Y$Xt5M|oHGn6meiw)t320r?V@cBrL9;zmQ1J3rWp79iNZ|YH;b;i)uLJCtZ`(u zFk?9TtZ`)Cb)9l0YnW?w(w{Y4)udlFiyVokOal#{)QA-~RJ1I!z;eYbZiL%;1KVj& z6sC(azKg@$#N@8zcZ%;rr)`nk?!UA2Z(^_PP2+X3$@aLLi?~%cLSLm&nu=y^Yxr^^ Zg*PIdv}5s9JUJAPCu0%EbF#kZ`~>{mtLp#& literal 0 HcmV?d00001 diff --git a/isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.lin64.o b/isim/pr_isim_beh.exe.sim/work/a_2734820196_0181651160.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..001d23d224b8b6fc9390fa8d26658903bc50ac46 GIT binary patch literal 5680 zcmbuDe{3699l)RMG%;JTy=;TPfO+hMJVBlF?>h#Q&xxC}bkJ&TprS2T$G*f?9lNqG zBTPj@a;b7$-BsEqO|U=u2R|xpLKA<4X{#t&$2ues8PcZl2WT6JwG0sZ2L?T=q|7S^=MR9zRK2NcQBL6;0dAe0dQl7UL-*_{jsg- zr-WaD@|7}~09F~C5?+R(YRwAaD;SczU13Id!pKRZGPo#Qy%l}VNvd6h>(=P{-+c^)7?H;iHZ5~!PJ*d_Z;WgE239oBb zUAU@2@9&yr3h%=9t6YBIkUcR^9>e{#9|?~UqPb6pYF$&UKUM7eob}QMbs<);oZwl%L>KUZv1*xkP#UYUV<6Wg+dmD{Ye!M5E#BE(g@B_uSvE{GcRBn?~? z;dxLmKMT2$OJSDb+fQLp8(7q9SQJ#&!pcmn?4(oKA+9nA;Z~;E&p9OxSb>;4El}H5 zV8!|wt?><*(5!#^6sJOQSHb_*W6c+Lh$}9E#X((ltg9syu{c$;PYU~?!b3w9{*W|# z&E@G6T^(C}!Du9nRU?V)Yn|@#>8hSCWU^9POv!m&Oi8JHE|toQ$$GP1HF34bbfdFu zOgDSR^is3hZa1eZ<#M@@%PRG{(FMoJ2O4#PzH}d7j+ggwo12;WY&U*95ebMANq`1u zf<-A3R&FL!VE#?GP)c}HBC&ojScEXn+!M$^ymJDuXPg;AUcvTgWdGUVAarKz^sfGR z@CP?J-rc-kr+RNGA$V@JCD&=90ayFPqf`Xw9zMHdwD~w5mzd zST#u!wz*1@3%wpmb~`vg^;A;YK1{(7Z5##YC0m_ZwdIA~4&$}X^0Lte_w9~pBw_FN z^vr7QI5jXD`eL`bY|u*HUlRv&+&1oW<~S@mcS3$0q8-}6Pj#E%=gP}ap>d0=c7fW* zV2E+>?SX=Ojq)kDkbA{4`v=u=w6pX8EHHN)fJ6_A4|| z{9^LL>{xpcCb93$|2(x%@W#-Od&c`<>|GO7iQ#7oWYuj5u0MWgS^jMOo4`iJe~CI} z`qa&)Jsa1dGb;WXjnCp>d^pT(+B1F<7{(Xj;$?$6P4W=>sEfkdZ*hHRg5Iu=N@|4e zcPI<;#@OHGf-nn2=e_Ik81PY{&eQtOQ9G>vgK#l>RzLnsynBx(Vfuso9u7G1q~ol^ zQ0|~F-s~D3qs}o7rsR!}don8ixrl538;^5ud{=Auj(TI{og2e57;m(f(8x?sf7A*i zr<>#n5*m7&5rXfjfb$D*l@rwFZfJur!^X}x4A?AO0q2Qz71_F}RR2+0Ldl&b_&Y*B zuX^~mDW9V2_zKm3lJdV&{wC$vgt$C83>-Mt4y zHbm&d#JPGE`jb#E6SjWu0>Ee8D-lo~#qaXr1s|^Y@MRzVkPrW{58v?NW3W%7@|S%0 zaUXu(hhOyJ#OGL;tdSE&GMCOt|MT>ypU|_Uy(I3Wl-Wrky^}&}Ck2rtwHfEOgKD$g zG>JZ^?JFsozHjf|1LcGIL8YXXb+X!P>Rn^0*)xrpddbjxi*e*7^JySQk)pk!`tU~uhr)v^Co9p}abWVmtvY3};(liVM)^LmH zy_E$$Q^=-7Ig?JOGGZYw7xHO6Cj!i5a%m?D9O_$otzp!T>kwke&^wEIvt2h<{TyZ` zsgRelay~C+^C>Z%&2t`ok^q}rHVjz7)uPjdV%j^kN_`MI4Qx^S9*4)3Jg`<&cM zxi`-WryuA18yx5J9B`b^^A{YyoAU!*M{pZ3S9V@u=OM<~`G$QX8E5AqcHU*2ouA^4 zclSJx{@FQ#V&{Z`r4&uc@JlKUi5HQ*B?Ect;2r-cvbzE literal 0 HcmV?d00001 diff --git a/isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.c b/isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.c new file mode 100644 index 0000000..fb085d2 --- /dev/null +++ b/isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.c @@ -0,0 +1,39 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +#include "xsi.h" + +struct XSI_INFO xsi_info; + +char *IEEE_P_2592010699; +char *STD_STANDARD; + + +int main(int argc, char **argv) +{ + xsi_init_design(argc, argv); + xsi_register_info(&xsi_info); + + xsi_register_min_prec_unit(-12); + ieee_p_2592010699_init(); + work_a_2734820196_0181651160_init(); + + + xsi_register_tops("work_a_2734820196_0181651160"); + + IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); + xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); + STD_STANDARD = xsi_get_engine_memory("std_standard"); + + return xsi_run_simulation(argc, argv); + +} diff --git a/isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.lin64.o b/isim/pr_isim_beh.exe.sim/work/pr_isim_beh.exe_main.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..74ab686d83458c525ed96bc90334352c9e8cda7b GIT binary patch literal 2664 zcmbW3&u<$=6vvxJ^emmF-R2FWAEz` z8+*+!$I8e2;}@ZB4)V>x56PHWWv2I+!JkS0(f7q$AACn2`@tPyi^}5s!cwJdEmuX^ zTC%EFEvs54M@lJrqH=YayUNw&WeTH?2qW9;*g=PAV|Dc%oLB|ApbjuL*wTAbtQy?{BgTz@8a@!Xl>ecq$jnO%x|h>lU$OEaJ6>!sPF z!dl75e^#1hP%jn94DY&;y~lMFB=x@X0(oGYk?cogcI?O|b1w|Z416>ow@i!7w(q*q zV~OWS(&Twx;kC5yuny63U)&CCSEe(0^2*>)-1?`qA!=zmUSp>3RK|yUk&Dj2e=6ci zbT56bo?#zuifI;|Y;SM@FQAdJA2WW|)pNbYofz{;<1LOw{tB0@sr=Wnko|Nyqq>%| zvZgDhLQ~^<{Pi?mlTlR(wGJJBn8xQd-BK#7Xf$R>doR zo|(S)i0r5N_tD6hK7X|JxkGN8PJ()A=Q(bo==q;!HYxx8GfDh4Euq#-L=&#iouUWB z30<6T@+gIgGr{~O?J#({NG(Z$(#fCTYW3reiwH?oFli6A=Ac-zl7pA?_tnmu8MC0vO$mk zBgJ% zVB;JGJ=lxA$=Z`v^i&EKgkJnPsGwdfh==0AKztK65K6ZL!@ha%&3yBH>{_W&O3r8B z{3|!c>&fNr8JB2yJJCp#jUJ-L^B)fLV_D}1<_r-%3hx=enO9{h;TP&m^a5mO7%2A3 zBGm6tKXgjKUC0~4rq6+t%sem3Y2aIO-OL+ym)tiG6qYa{h1SlnQ2dn@(=RMwLRrt~ zh$QeSaV_645^~(?;fHe0^0^Y;u~v=2b*S2)Bimzl0O%@Ow}THUy}F-K zEj+r3?>0DnF7*|Vie-Z@{9{b8tYyx66IZTYygXIA)*l?M92yAv%fT^u>-2>;vl)&P s<>j{CSS{nYvD$ej7ppyq?fV?tv)fI}mt1NK-P=M-O3mFF&bp)Kei`I1&1Xq!iXlPACTNPRm+Ac&| z3Qf5Sbt81A;=(engrZAbh=_^Bcix?0{$=Y~<4N?@ z-^*rk!+6to+Y;hTuMneN*W*Iex4xNDuF<|+*H(oP58M^?OUie&5U`W$Qi$gu+e=|c z(>ByEP(Qazz+LDmlA0|ul+_Z_r7_?eG^-_4L(!_1Np2${h@!P!ITrSZi-InLvW&ML1*@emnIIB294%H$S8f(3Dpy5r;?`uPl=ID|g4yF6FyzY)(7x$*P#h~vKYD^4)mTTxpgUH| IeP|W5|6YaMw*UYD diff --git a/isim/precompiled.exe.sim/ieee/p_2592010699.lin64.o b/isim/precompiled.exe.sim/ieee/p_2592010699.lin64.o index 3841218cd0ee8b807644a3298fdd06d6d564898b..338ffaa5a320882d1334cc1841f25935c4cb9c45 100644 GIT binary patch delta 126 zcmezIk?F@rrVSVE7)3T;viro$cxmxbCltUJ9jDDLPqaH9b@yKshjsvPWwOBNXg|Tz9 ZSQ^kE4>`C&-dXN!Oory0rxc`k007m-FdF~> delta 126 zcmezIk?F@rrVSVE7+E%7viro$cxdraClF*7+ROwJ3Em>d=5x%szO9W&E^ z`OVdSADJ2VEzS*MVXT|%7$rRUrd!zN>tUJ9jCPwHqaH9b{gKRNlPfB$>$ zwbwakKAL#`?~{KO_FcMi<;vT}m<>(FT)M@W$+y;ZjjXSG?l5NXPGj~@sO#jrjQQyv zV=|MBIWPS|Du_|qh$E z$t|htuluJm4@gO%>>u$lFDNlrG4i?s!CDF>h(`u#Iu2!|{A7OtZy|u^2emo8vjBz#|sJy$;87L6`*=!<~YG zhy6RA-xPS%V$_1e@>~{Xp~cW=j)yZko*r#(qQ;UFiXCSim)of#sHI&^$s@C60%BqSV+4cY`=P zkAZj&YyJr-Yw?^R{ygHGhZuXK4;rmPW|0WL2z_0n=ikA5l}^`9}A zj5N~xW70Lpjd@&S*5dx0upfM9pSB6#W)yo#9IslQCo~?3XRqb)ZMl4A@RT^-usp0G z_qzMx9D2Q_=kYB(Z))Oc%d=w?JX0)>Z{c}I9Pe44XGXzuujTPAJcHu+(DLjY17RNcuv+FuM)MdJzKVulHkeMq`yDazQz6bOS&!y;7A&)c*+aU{@@y`i9#1*ozuxq<;t$$bxmf0nW!>);J@*B2cR=So)z!${Bs^SOqhRjnn3{ zvS8^Mu$jIk4_K&l$nOiPCrQZWM@jBv^i@z{?qj z!)_I5lX75ZOA*&+j+g#`_gR6q$OoH|!b?5iB?g{n0PJ1`w%Yg{S+M+u@v+p8+>63) zlZ9ih6k!i=IbNRY{qxs1omXJHeD*X?ih!jr9hS3#{aS&i99`0R6@6h7XS z&DN*S7FeJATxk7~_DSAh&6rG z9UIMpUPN3^ z)4vFLu;j7ivE;G5H?W)o%NelL63e>*OCMtqw}xArXQo^Ft^y8o(QatXI-V}c_owTh z`N{A9c#-jmZwHUP2I!T2wa&bz6+Za<>@O38gHc}?6yq@HDRz}g#b9%1XJ^N% zueD~cSybbr*96!_HAOXSkD#CpQQ+F7Ek$8nR~-7|AwTKr?hUU^ry*0HD)QVAq#-th zIXWE31}%zx{tGn}G`S=y*Z(EmMt|Mm!LI(IDq9O{Wi!CPT2xKU0RKv1Scs2wl})}k z${(u#&y~YUF)Em(*cX}uu_?!<6q{;n`eGA@dDB-cnSZ>|=xnd3<32$7KT2C@y26~d3=`JSus9H))v6O^8Va2RW3zjQaqI?*~ s)OlsBf~A3UlwHmeE3+$7)0VB9w$xDdU8;I6AN7^1Nk}k0Vh)*q0H&nuzyJUM literal 0 HcmV?d00001 diff --git a/isim/tb_isim_beh.exe.sim/isimcrash.log b/isim/tb_isim_beh.exe.sim/isimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/isim/tb_isim_beh.exe.sim/isimkernel.log b/isim/tb_isim_beh.exe.sim/isimkernel.log new file mode 100644 index 0000000..7b3c541 --- /dev/null +++ b/isim/tb_isim_beh.exe.sim/isimkernel.log @@ -0,0 +1,28 @@ +Command line: + tb_isim_beh.exe + -simmode gui + -simrunnum 0 + -socket 49451 + +Tue Aug 27 09:36:05 2019 + + + Elaboration Time: 0.12 sec + + Current Memory Usage: 198.607 Meg + + Total Signals : 13 + Total Nets : 50 + Total Signal Drivers : 6 + Total Blocks : 3 + Total Primitive Blocks : 2 + Total Processes : 7 + Total Traceable Variables : 10 + Total Scalar Nets and Variables : 412 + + Total Simulation Time: 0.13 sec + + Current Memory Usage: 276.206 Meg + +Tue Aug 27 09:36:11 2019 + diff --git a/isim/tb_isim_beh.exe.sim/netId.dat b/isim/tb_isim_beh.exe.sim/netId.dat new file mode 100644 index 0000000000000000000000000000000000000000..ed50a881873c347c9a27f9773ecabe821b8fbad3 GIT binary patch literal 92 zcmZQ)U|=uG#0FV|3VoNAr0!YgPu@w+20I@X?D*~|%5YJ*{ GU;qGMcLMJK literal 0 HcmV?d00001 diff --git a/isim/tb_isim_beh.exe.sim/tb_isim_beh.exe b/isim/tb_isim_beh.exe.sim/tb_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..55f655523c1d21d03ebee1d883408ee21c44ef86 GIT binary patch literal 50173 zcmeHw4}4U`wg1f~Kvc*E1VKdEYHXoO*xl@3f!AHw$i}9KfR!q`3CRMhA&JR`Mk^Ie zLb+ZRgGy`r6H8xxedtr6E%2y{22lRkYU7_isaA~^?S`PHmMZ?;-}lU)&E3szSSSeg z!&UaooH=vm%*>f{X70@7-dbFGsUa&%k&i+74~1g+c^-!1zl!4e8H);>OUYF{%4lVj z(jS<9_~&6M1bIlpHo;oLTtSx&m_uQMKo~qcAmAt-Lkawm5)!2HdLEAma(J+nMr@Dk<R_YSj+Ypf*6%O6#u9%DShDw+xy?R;!y54PCNgh z3eT{I+pZn9ozl+1KiU3jTV>Xeoati;HyZygz`wQo?pXZjU+=tSa`B%I41f6bz1QFR zvs?DwID1s(>b=kWF7o%h{V%?sm-Y4aPl7b<<3S3^l>A%+L@Io38vN2U_@Af2Z%TvT z4ZI2elAo7>NF~pqH25>naH;4oPJ=H7-jrM@;@?X{A5Me6Jq`ZeH2589@PAH&zZHs< zs$TD>q0dP}Z%l)~C=I?e4ZblA{<<{y2N-^kvcl+Lt^I{)^ZS9%SNkSY543Hd`yB5jaz!Qvi3YfDI4fvartuz0z;HM zWy@_IiDK|I!6!dS9%Xz@ZFv0b5UfPwc#EyjIlg3Oalki|!1zM4BKTKLmLxQHL49ap zuzG%|ItV+W1m=aRLrPHW;W6h69zsdF2gN;lQHus)is-st?VtF0TqKD6bC$ z7X_=s3|U)OQxUAM4}8Bo#1V@YR8|El76dD92-KBV&kqJ_<^@94mBB_vJ|CQUv4Mrb zg*A0IF>GB!bpY}-RF#KAHPuQWFn?hUFyZpLa3H`bg7ZW5;b2{$zF{tkoL^VIFc54E zRy2fz^@#+Pp~`YDNuA6S3I~b}UZ@U)tl+>r%2QiY7go?n zadtNc1JyNw2pl^9c)dd+x>drJpCUUF`*4I=uP}2wI zHB?uyKA_C;8icCn)vzoiBQ%35tU!q>$OkzT^khZtP3Sg4iEdk19zsV3(HG@Nm;H^bx^uia!+2O7R~N5WX4&a@;nI z44p=kqkiN-@wDGr#vu84@}2V8tHTeFNWcyqzCeeM>hNFF;k$MC^K|(9yUJLMc*B&$ zpAkB|zK$jxUWm@}jM3rcoP`q1I=p^f=hETz^BRv1FKs39@$2wtxcD<&hd(`zRg~E} z{24lYnGQclhhLz>=jrgZI=oSbZ`9%Qb@(MZ{9qk^xel-Qi>*5RnL7G=baF^tL_~API79DW;-pfYtt>u+;-pHQOIZ9eiVvW8EsIa4IH^=;8H-;+aZ;tu=`3!gIH^#l zhsD21aZ;U5GmD>3@qrXKvG_=elL~d_v-l8-lj?LTEPgu0No6{_zX0Mw1I6;9@(%H`9A5ffBqqB|0_fwoyqH_a_zeRCUh0e7s{tCrO1v*<<`~`|r*LN;q@neG#`mx4tWo@wo>2vwSKFb zO^UyzEo_Ljv#xE`KUezIw`UUJl1Z(%n-rzt+mGe1DpQc{c0~P4yK*VE?bB?3Q&*PX zy|=z#o^iBKfs(Xk`PF_w5XFW*&4{#qS5X%C-nzGT_M3uW78Q5Ro*nb%%_}sHZf5fP zn8JHj=p4!)ne-rq8$Tyr!+r{0e%0VtcSV0in8>92 zDD`TddV@@TU41|LUBXc%u7y~w-tT&^^v+_^x%?4d!4`kyj#g-tU-i%NM`lm-M@kEp z`_(gcX65Yi%%Y*;Z~3rcl3$%waDUUy1GB>J(#YK0Vr^7`c72X!@T%_?8`pRF)IS^7 z@AkT%UHmP-mTlZj5rrsrJ9EXuql8(%-i{%N;c~--PD%W$i7_dfun*@r`}n=kEOB=FSRWsm4*y z^4gr`)BE|gALU}`8aKb-F>d}aV#EJd^+T{R@5qeIul=MJV;wmR$vKu}#yAaGAB+!W z#yAa`p0Q_nxZnWVfT}M)|U~1X*(H2sKu5Pux>wutG*TN{a zkg?pcg-qlQ$uX+uD9FdACodbI6F`tZgW-4mU!J^I0{ezIp>Yiq+4caDOX~Ts#`^{jI2;qDH->WB$mn zhcLWi1=}agDQFKr?aTVqm-TjW*4sWc>Kog^_`THi2yd~6j;9z;!vuYui|QxNs5RR!IpYGuJ8SojfsW>LNBAN=Y^ z(TRs*v58q>E31zmCdsc=737v`l?C~*KO>O;jp!E87&n{!Fobhp2q$J6?{4dQ(XUMz zQ>^Zr40~dtBrhcy!q0VWJY1Q(KRSGwzMe9ppAqAfWj;$u*;FR^5veFM{Ywvw!D#cV zo5%(G)zuvUCF--<_XheSE#&t7YD+h8yVzV=ot*elxls^(K zF#4O`>HZD^6H8PFiY7+URUd+z#!4;0VDov=m9zX!Td6XNv4sXysrv9lDpOlca%e-; z)t>?SBWs2dR$a4!u-R(MON8ZYwUNg4EkvcZv{NbzV-QbZ(H}1Uj#h|5NqlVt`u<)B zQKCMjzEY~bN1k#H`p&PdApx~BLC8wL>|zZQAR7T{a`jC0+d1l#+_BFa*KflNL7g(N zRPFFbf_tyw{>&TLvje%QDri_6xUt!p#^g7ye?eV65ICQxSoZ3`j2J- zqL+Ny`Cvw!=hMajM@d>;j{0Yxy5Fb%E&Ba`LZrR0EMD~~*rK;VLM20WbIyk?T7q#& zMqmv!ld<^|{ARDAf_>RrnHcJ!i&`k)W^J@s?R*)D=t$n87a0(s>KoS^O0=_f<`@iJ zzwIM6yKD7HtF3E~T3i4659*E+tgY`s2)(vGf?48MPFweU(5JS(b<2s?RzI_?TWO%f z#I9ptHI2Sx6H9|4*SijuK5F? z5K}u(YoVxd{dTqGaRk(Mnk=#4Vs2+wQysLCu(LLZpx4}kOeEGG+F+7g&zARW+L1uGcjS52ZG@y zDgnW~Q9q< z^*X5RPN*#FB#uMVP`%;vp|UO1-Rg)Ql|3qROZ=kY_oxF_Q@8}{%J}49FA&L-gEdTN z&QV#@`6HXS%5J&`q*{NK>8N1ECI{5OTw$e}U~)kED<%hQ;A!VUX>WxPdZqmqx>{6N z%Ri<{YevUPX);$@KK;`+bERb}1@XAjnown~wBH1dDQ#`fjG_2lw81OBv2S_RmvqXB z#dE>eed?Q!Qg=T=FQM3GXY?#0r}+T&2h9hV25=q699gI{Q*E6-KLX;^`pnzgxYAAf z{3DPh>+`?C^!7JhOj&3qnP7BDS(7#RxJ_@jLI}MEFFrs*eZ{7?_v|O_OFO-NK767l z3@44Y9+kaii?N)}lR#UI5JIo5e`G>^#k6(*-}=>FqghbJu@rdV4IVx2yk3`f-A%w`(DUUTMqr z#mCV}HNE9ZdnD7_d2c~!GoRi%iTu>`_K4ozzSzN(<-|^JUxyHS4ZiP966z~9Vfft} zeNGt8zy3r|82$~Ww->&C5@@R#Lg=-%n+f$5)7CRy>r-2s=bvb8{kNOmhF*=kf5k_zHLZr>3{Za(cUYFX_h#p5AVQ5PGFu`sesKI;p0& zTxpMFdi$f7p|qJ#Z)X$v$v3@?Od%cV#_LcVQfu0tgS{AfVZDn^j^H>+gi^#78Li}Z zW#LUKa%^HbuEV*7)qID7ohFF0*rI1avs1x>?bS&Z9M5*yHh>Q(z! z|3?x;SZ>-)V_a!BjcTpYZdx00%k0zwd$%ul)25I>;tT~gCeoc+;Qh$XDMYBVeA-xc zIssb`)Tf%6jw!Ui1Q|#VNlw~Dh>&>3^;xu2_PTHEJ6`pm*o>g2OWsa61YEjv3)EP~ zNCWtGK9AthZ6_Q?A(^T|QLzEWc7t~rP+VMv=^)Kv6_Onv5NC0y?z^ZZhy_7$vW6+- zzjm_5`64k*dsbm%9}3A;2YYE;AsG*Cgl?mkTAHclgcb^lJu|k8$~Jnxjk5Ksc?LRN zza(R-c}xz}(B@GF2Wm8S63VC1h8S1PZ}wJA`wOU5f<;{|RFIvZd18*3)w2EW=F?De zUz)i1_Re>xne@8Ows?;B37W%b@Rw~;kA6F%p}kKHJ`N`JE|jR(+nLXk1Sh*mUHr!+ zH9KWe`!cCVuaNtm?NcF-t4TeCvh}LD<+-ndNqy@NeVWuCTy>&Ns*4|?C5Hr$PVN}z zyL`)Ab|KX3B<)dJ)}BMQa*K@AQ^k#uZJ6&y$k*?pvlf`|>gNXJds58})&Y-Gw_3l4 zuP`Bti>9qOI(>BKZwLQAZsAJQ(w-wW)Dk2QLBG+*POpkXtT>%YCsj(-1p|$n*HA+( zpFI?$B(J8ZY@P@=OVy$yWaKTivyJQ9Rd!^RPKRw@d_6mX8=amRGvd3!TI{cYrRc2H9ATX@(9l^8DUzqbUuBJdY}&uB@uPR26dFGUV(2wK z%EUUUH2%U}eQNx)8D9~N|F?S?Jm+arVRq&+;avl*Y`&zUydj97SKqNa*{C{12K{6@ z%1gJ_j_hUd_@{(frn;Ou%6p8C@;SK8S< zSJSqDBKfAp@;DCKMFhBMQK~(>7on1-rw4kqV%&~qb0{!uBVvB>!YeOcw8n9GQ%VHt zr2|VNTe}hR?ixVTa<3Z5DTz#_t8+A`j7wqiaB^wzUyBK0&AYu?hN35K%vL+-<~SxE5je zC^T^i4^225In=Y%X*uepJvZafq^WMkQ8m%31*ljjJFl;;rrM!hZG<|PDjaXxpTlw`yA{PIUizS#(n{g$ zxR1_nV8Va5F%Bja+{!{YqaPuGv>SWQ=>LLvVWe)NKDh`l@!7hHFA|cI~4&TwnLrSVDdwstGlIjP0 z>EpzS->^AT4taJbj%_PZr)RVjNA0Py@BnPc=dtn4R0Ne{L!NEs#fSV0ri3Bi05I(*2pN+u2YS}HR>C=h ztNsc5V(+01TR{TO7Z>`}&g4rvHxfB{c2Z$>NoO4b?2^v#sgZ(x#aZ~v8FW{NREk*( zgsJv%13_wIY~GKdp3T*%h1xjLKoG{=(nyr-^;PF%=`F)rP@%_3NnjbMF?)PI$tJBs zYM)P{B@b1qKgXe-M9`PdZztj;pHE5{zideaefj)0B4$_Uh0mW&8OZ0enjNjrzvq9G zd_J`dMtn-2Pwj-XOnp9yOg^9Pvq_)70%7U%Sr^lLIGxX5f^_clsea7ow?IU_87SV8 z=<``Ico)a|HdCKZ)x>Dm`+TZhlFt`SyDDw~ys%QyW(XvFK2?qR{JkVCt0^}Cl#uN6 zYpH+)0|1{-6^8y$Nu}DO+`Y5?cAxe&G01%E&je6z{aDZ2j1m&YIvWG*MIdwU9gH(G zCVZ+u`j&dCuzeGF(K|qrhAlSR5J-7%lv+u+cPc=*cXrc``qtR&#BcT**5}M&Bo0|> zrwJ{r=U4WF4TVpqGCOnell+RX5#HFZvY94E?gCJtd#HTw)7K&-M{R1KPL)XZ>C59d zv@Q|!<b9eL6K( zFP~2Bb_711q$i)gk)ZJD%?QIubP$SsI;E+bmQb{X!o7StRh9YlEs#_{m>V||r(~bb z%A}(r3y5)sM@6WzNj{ybn&i_(TTf3M(!YjS!l!fj;nQCtnOSxCkY<%k^68CKKzvBE z0WKB~+MV2evweP{YRNNp%B`QVPxuXLn{fD~g(^kv+mzxa>_CtU*Tbd*%p?0W{81DA z#DKZ)H-8PzFcv!ZO>L9TegB5Y6WllTNMG)o830lXWAo|w&F1RD{uoN40iafOw~(qB z*_x*F)$~5Q9aQMi*$kXor_&#-p>_Jc^+`UPx-y;5zK5q}>a#b1{1~j$pNEM0VZLfZ zqR(cVZ-+o%<~p7JR2r(c1@a}2da`NyQD6KB zn&23%(?5Qg58PAh^j>e@zH00AFaC$DdvBjE2J}g^PTvPf^@IKXhe+~dpH80a_^#8R z8!gxAY?}TW#QIX!>1?bY(K>y`gBYd>bN4>i=@)=J!*%+h2RLV)qi;pSr*rgsh&;j3 zQ#bYH=%JFkiA4Un7&etZwN8(}n{Pwo9EWv!_kSn(eDa{_d_MId(lYh=B=Rv>r@saf z^=4qrx-6}Jn)K;p zk&@rNli7>EdnbaEV4eQW`&0XLF<=vYI!$*^*g8EQlIjO@JCi)wr_=P7`SbQ`x&LFZP9F;q^~0RL6Y%Bkrw@z|aJHX51_FGU>vZ~)>KU%n z*W8D}c8u2PR}e1@+*9lHulzdwyZ5H{=_lVheIg{)5BATPdVoe zTBoDM`Zz;JtI^}IPWRuFdnAE5!d_t(DDcc=F0C*L}K0VLHA<}s^D@?@V*tHR^EPWLAc>2ip5 ztk>z>eILm>z3Wa4!_3#|kApnJb^0peocTI^E|Dj=Z|af0-1on>P8Z)Hi`bXq?`Gp; zTWHNr{sD^pUL{@;ff<3tN6aPJg@nntkM1CgsUd^bU172utyq8du5E zr|HXYC0envQ^DWMuzxrVH0KgcB{3r!|I(*BK;vgLc++ctfoPx^O4P&nR1%-3ehkju zT{#8#`=6loAz#0r0p-VsSIoPBBWx*g+ct*%iO|vlllKXn6+$75csIlP3dVF@fikM8 zjPsEx`j=HiLN!>rt86H7nTpDITqW9-igELh<@wQ@83lOnTAF_*|9B%>!LR$05*VIK z46!Ozq8iAl!uUYga$f=dP=v3*RI0hW_;e2zg6aoPkgQKqHKI#eW3i^L0Uy1pzKZkC zw$b5r+%EsWCECYo8BMb{pgKimDM&M zN3DjsjGM!heM0oWT~tF>8{_6~!n^{EadSISK)wml3&iNxCm&eScu zCg6oO6{6tdXemV5a7VHzxtR4%borLwg0B_nYLYtpjlHv@CN^}(gs2rF3X#ESLUcH* z0;|pNo+MV^&zNRWZM@o0d@iZ9z^rBAykK(Wn{ojUlnyO;)PL;PxfbUp}nH7P5zWfxZ+NUB)?d zfI=!1I55rR94=%Wx`%Lm;-7))pwB=>=`&FH7E~4c78C{-iT30WFa|FrI*u{ca!K{I zyK?F7v^K65UFKKcDbW@y(P~`vEsfyoP^A%V1gJ{2rgfn5t8e<%?WKZf_#sqKecG#q z3kEiQk=yVesO{PWS+7Kn{OB7ish%A|O20OTbg`mB1L^^Fx+W?YC4HKbILbBbiaNAEQNO&FRC`v(67|he^#fTe??;KXnu1!DrKr_utXA#1T0yO=3dT^aP&L@3QZ!7js@)br z)gEHsYK++5#%&I=iw0ES;}xVXllG4=Dba->Vm6YRpqrXNeA4Sve`Ng#e8rLm6#vL$ z2Rin>e6+$GYK2*pG4j}Ke5UY;wac=U=uF17AAKs!uNEs^SCJkN`E^8oA(3lM)5%n8 zki@pcpM{UwvHZ~yNm)lBYcpk?OIi2x)E|;<1Y_z|Sb?he_ry|skBo#j(FYWJgfG=D zw16er{>dwd>)nj&WB1_0oKoXq@}p~jCYl*UQ%f{GLaRId+ur+@KWnG|`7fxe&H%}^ z8Z|>tt>>YfJvf#_JZ2J)i&K@89kq*cW)V&9;mi5w?W`O}yb*eRSWW-&O@#kJU&qvc z9}``>m$bXX9~t+LJV+S1@Bjheq3~fE!?&RGp$7Px=K)vg*tfmv=lD*af7|ETCF;x3 zi%5c&cf+GBuhMs0aYm~YAMsP)_r`|)#ox38-|+0J+uzeUq?{v2IpIvgq^q|Sn4xGc z`raiybPkq%juU@eVFBduHyw(F&wlJsYZ*QZ>qDnBb>-r_q8h#rdMMWLchXUO@ss=f z=+CLu$+MF=f=cV2@7L7b5GW56Ih+=!#bPaT1gu3)tHW(E<9pA&z7eg^7rgNi>FUbz zx=O|+gl~qAr%!R$EUXPx1uF~jY3xG6QQqEJt`QlLKXCos&Ib6qBY?Gl{|&et@NvMkfd2F52Ylrnln=OZKgtJu?md(bxcmc@ z57_)6%7+zu2+#%igOAbPfLj6A0mcA#13vo+=mFP#iuQ$BZ3i?1F8>U21FprSq!w@k z;Br8EFc)D@*3C1Ntj7GTa|RB`ZN*vl0$|||mGJUdY&B7M^YWJ&ym=#v(M`_FUul?}HzJZfInT5r$D221SwC-{xv9S|Z-F6jdY%~=Z=MMh zpq!kS>xIC0NRAcw_cJvX`vxJzL-_mg?*ZVg5(!B7$MJ7R3i_9Te<20^0pJg$pwB^l zKTSbD3ix3dGc@&*54DL6_#wbwKu9!0li~8yWkpCX`t&d5(|>21@KwMMPvS@TX5fdW zpuZRRvr^D+1pdqv^nU<8SzhA54|tMSFAw$EXTVdrKSG%L?GEa+j8TH4V6)cN~(EI*#=}Y{krfkq^na0(|aFl9Sr|e&Fv0{$7%kwYTACc^Gf>Q2J9y z&xcJ56JjQ`&af=IDW{6{38n8tdS#mQi;`2z>7#2)ZiQWc!2W(fS^p&9B2pjfWJ^uwd{&yzwzZ>*U*t+&~^mixHKLz@iv9QZj zo`ayj61Fo_`hpxqc@Z!DGnIc9=)b!rbNQP=|KZOwmuDmB!#Hh_sr>su{{aqbWGeqq z_>u;k*UGg0eV`xqU@W#u-(PzD`Bh?ng+agQp;&BtI{FQX^!I~4cYWskUjlsyJ}p!J zIq->}{x%l-Ae}saNR-D0`mOMhnbKE+{#N+XOxyo%&>P@$Gj0E;K>wdl#A2^NujAv_ zFjY6eUXE9Q#_vJUm%@jSgkHqy_2bcKNEq+*a>%hW7GwK_%n!mo^ud1?=-c+hVxQ>c z>4SeW=x={EbNM%d-u8Uv^6vxvd4I}W{-Lm~>-J_YzYp|vf5}|_Fz82hWG?^xpzpsg zbNOEaee2&clb`%f4(4QYx?-_02rIt4{0caiQUpfsez!jF0MeryHO2Vw4)D!l+%9zyzfq(4XbXXj0i7*=F2%W3Lo zxIaGqVDq+@K=&umy-IY~=1pH|h-9zGS=O(qzu_TCN%j5=bj#mQtT)Ly6m!mdfM?$Z zpO$A@W@ySbJisNTbQ{vm-Lcqdm_PLRTf;wzg80rt`k24RV$^K%A^EF-Hvz8_@`@Gx zmh}(hd71{?VOW_R$uZ29O~JBY0nHv3!B28r;#-?MEI6W>LlTLeWLPbP8OW2BvVk5J z!mAVZY`M+D;6J~J)li_!;K47}F6F;47m=5-AFnAb5mDn?w#yTE8n^lw%JgVsD zj)W3q%Aq^U7=>&jj|fov(Ssq&p5X$TPWP~o#IHMz$I;~MX%rA$${txhUPiL|EEn`> zM;4dugKlK;OGLWJe!_uyRar_IR*HVRPrye6d_utI1bkh<_XPYxz`Q{o#`in{3kCcS z0jCLgoq*K>{!qY`0^TR!BLY4l;Bx}LF5r6tej#AWO7($UVdV1Op3mX01^lakrw``% zi2_y#xLUy7i+FloJ%G&TM^_1{s5tmTn zC6ssxC0_DhAo5oWc&BLh4FY;Zzg#DvThM(=z#Gr^FjjX6I9l}kBmqwsbol~K7kX1I z;1}b0{!v1|Ub=+GKM?R6LSKsod{yY#n*y@Jbw7nd&n5g|GUu0{gg;!mj%7m7B*vA5 z`-(Y#LlXXQ=?P4Akv*bb5a;7znrwx(LZ``Uwph(YmLk)b8No`EzdX$G<1Egxic(mA)5381 zT)=Q0hYMsVR2>f1)hdP6HQ`{PcXG+NaQS=@n_t~fIJY5GRXHwHsT5LdL3#ZGrLgj* zYVhMQT*p%u1?%cVHPs1`0MhD$RppdHglen8N@1uvgx_$m5x?^gL0U~^dAM9D3@!-F zt1Dj^3@oTbUKvvgE5bE(^(c^o>no5*8HhXbGD*r8hAL2c4S3?GkQKz4&aFpQyboU( ztPacOJZg9ja%SP5L2@v7ct{{<9;knaR{vap$N>D4`9~Q%h+$4G5GEGu`N`=CvXBM8 z`ur0O9)_PN@)xjJ&rfoGACmC8v__U+X7B(*^Ew9D{GLK`ekbQqJXKMqAwY9EnSX=9 zgBaeO3Phur$kBX|>Mrw-AR;{9#XlmE`Q`j^jF>>m`6AKMliYr^8%J|BnP1M&E)f&F z%UG@+`6Zo%Hz1wneljlSf6GOFlgOVezd$QDBS38`^UL|>I+4Fo5GJ>utbcP-emQ?N zi3zhuFsA%8Cr@s_mB3M($oaOMua}7lyetPK>`Cr_6zRiOe z?4!s}e~(80$o7-CbqMJ5dzw8g$wM83M~*`t>s|h4B=49sgvzNxMX5GFAC)zv1~Ok$}1M%Y!49>v1huu{@)>Jzh-!HTqpiTEL~Z)JKE3uog*m$YB{#B97R zlXfW)KTMJKCJ}#@BJD^bemLwxnI6T$*?0>j$Gtu=TRB^i<2eyOQi+dKT|%}(v%$oN z^wppYQYH$&C{szTbCkcwWL&~g2xKX}+vl4M-@ARtK4hh6pJL#t+_I!{uVM5(^2_mb zy};)R`yo1NqBB&&sLNqJlRL$ z)BfW`KEniliNMQpM+>|u2|rfg+g5WvlD0-v8G z|7?LbCE?2j-jjsCUf`!E;p+vyED3*$z}F_>TLgZsz{~os6nG`6zkULIs($~qpl=iO zV|eo@zXP81N3NUM-UQNKVe~zABUjMBF6iZYd$PdC1YWMkCEf(5NBre_o#s*Wcm-ar z=U?S$WiIfkFN%{Xv(98D%Y|jCCb^?!64a&hJ zd;4-4{C?oGQrSZ|=`5wUT|Eu>A!rBrev<8_qQpr8e^A(s)g%U<$pSCmCl2Fir9t53 zdsMb3h_nXzMP#!0{%7N^Pz{y{%${`=d$U4b1@+&{_;IE+k*zd z1mN?@uL(aQ^Gp@=@;$ed=N5qv5AiV4ABha>8D8e@flmv1`JP(V_fQ)8GX`*ZTuJie z18?eK8xM^Jp6VsvbIX38A@K4&zN~MHz{mFs(0}wi3jAPYq>?YnmE&}mpqKjxY;PU( zp9#Et4=>BLAS1~m-|x%uQpxbFsDz&u;8V5#3c*M2N4x^Pr)OIlKD0MX<;wT^VNR~( zV#1zE563e6AVuyo$o82d@DHEwVH{+=?nuLj-kVUlav$YUA?IrXFZVCl83}M4g7vkD zaZddCI`G6t?&nB8*9g4aw~_5Y=Lu8Q>wg5j+%J*s|GL1-eI%_zL|u+Nk8&9qfcxbioM40z1ItWO+)`N z!w*v8`#XYV;XvNbazE<=fuAeza{o-W^G^g`?#Ib?`#r;p%sTLiAVu!=GdcvA}de52qm_x+@tzZCeW*jE@MxIHcKa$i)+^D*#) z4MwH?Hcvvi{f%6HxsUfFk?Lmn1X32cIt{)`@R9qOQg7++C#M=O8-XW%HYeH7oq~_t z_mu7Ysldzq&XGd2aTo~H{!iWPVHnvCmjgdo=~>STv#ngm=tX87s1|(WKJX{PF8xyA z<$kT4|NKeddfxwhm zm(K{4mdu0H+iZ66fZgR}0gKtaa$YFU$}ldcn`d)bZ9D*kn751!x5ONDk<+u@TyqQ1F|Zq$WWD@GSU#3p+U5l7bvf*E59iatgcK% zaK#QxExmlQw={71rI*euo)wtoom^TBt@eFyn)f>;Q+nTN3Dh^t4LGgVq9VK5VRJZ( z+_(zkHd_LAbCJ^qtW_ahOOw%o>oP9fPr-j?tHow_TXp$*-JfA)Ssb<^x7+1*6%{$n zPP-f0%V&83Kk~xu|5sE9OSd}gZZ}4s+iG(ZIl#)LU)98uC1%72-epJzF&_0}#tj5$=UFHYNsh};Re_2H!HOFKb>-Fb zgMpfP7&(=}#x&KiTA+qSc4$0W5I4Vy^wkIiu%q3lR^lW8bRQLQnQ@5>J#TU7#Y^3E zR)@unn_VtshT(NM?Rrh7O3a742CL_Xs)K=r!G$$-H>DFk-uF(o&1tt=9J)RrMs*Ev zdZC34RpntiG9U~`k1vEbN-biM84d2Rqh)Nk6lcX))p1Up#fomVxLr^#3{up~X+CTg zj2xR24e!R_wm9t;9IDVa|IAswz|2|RX+G}^Um7`k#$Hj81r`aWMX$@LB}JFx%AW(q z$7vG3rmrs$c#~JvG>x5I?0@0B}~o7>U5wXtuS4X(e1Fp#_GBtK}N=at~6HF z%nwxraK+G;riC%ctqzydS>&+0Y)}fT-aVw2qepq1c9-2{cIY)h>US8!vpxj7*0+kI zZR{BMW|ZrI&4A~y>6JXS05GR+*cP`FHqMS&huNB7T?3ab3d|4iKC}6DG!PqyJrYOd`zCYuxP|vi$VzHx%i`@D=30)MoExkFhh8G>driN_I;PFf?EtxzeU@f#3+IgG7 z&r~$j)zK)dg11TAWEcewI2aPgV#ft(dvB>&ck~v8rkFZ=YjKf%vn13ibtcR}EoRJX ztd=5-Jxmz%3X(dDe%M>lPh<-Z+qLl)cVHGnQ`rRj(uV@d82s6g>yKHoN#c& z-;T;S^)1-v@}Q4VM6%m$4l|m^=_<0Bq0zefVs2DlgZX21WmUSKb;4s}-cp2|Zt^?{ z)A0mts|(iGR5j2nA~3I^x`It75(wCMO~=$au#ycUwlb>>l1WV`CoO#7LC{554co0|*b!Z+X_^gw!(p+RZB`3r jR9I~tHb;-Iwqk;B!F2hsS>jVLEPHHLtOeXo{h0ax+}%8y~rhzPkTaY95xK|@Omzs3K+%sq@_ zKsg`<1!Jv!w>PtUyS{5Sy4PW&HA#2Q(orUcX&6P@Q5>#23QPESzIt3v=D`Ia7kQp< zCGxb$1h*3TKM_?R;ualOzA*?sc&hN^C7^b>8*UM;uzuIT%!8Od*vl1@0cZwReOU(k z+oBbSX8W@GS(xt@u(pUGh~aR5#4cQNw9EN&<@xO^- zF_FV#4nqM5rwWkqWj%ZqmgaL9Z4*D5B~442;3D$k-z)J^A*`^ZNrh_59Nx5v&eRu~ zs)=bGhNAK2-GRY>+n#_Sl4%r^A`4`CUd0Dcco^R)w}w+8hd1qUmNV9I^6jy&PvG!8 zwtJ#|l?>8hS9Iv#*uo27&}VSyyX`Qe9GHRr z4)k|k&XVlI)K(wGaYQo#>dliza(;Mbt3NtUF5)l@jlQ)fBSyCReP^#8A*s +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/pr.vhd"; +extern char *IEEE_P_2592010699; + +char *ieee_p_2592010699_sub_16439989832805790689_503743352(char *, char *, char *, char *, char *, char *); +char *ieee_p_2592010699_sub_207919886985903570_503743352(char *, char *, char *, char *); +unsigned char ieee_p_2592010699_sub_3488546069778340532_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_3488768496604610246_503743352(char *, unsigned char , unsigned char ); +unsigned char ieee_p_2592010699_sub_374109322130769762_503743352(char *, unsigned char ); + + +static void work_a_3230118638_0181651160_p_0(char *t0) +{ + char t1[16]; + char t4[16]; + char *t2; + char *t3; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + unsigned char t12; + char *t13; + char *t14; + char *t15; + char *t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(18, ng0); + +LAB3: t2 = (t0 + 1032U); + t3 = *((char **)t2); + t2 = (t0 + 7176U); + t5 = (t0 + 1192U); + t6 = *((char **)t5); + t5 = (t0 + 7192U); + t7 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t4, t6, t5); + t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t3, t2, t7, t4); + t9 = (t1 + 12U); + t10 = *((unsigned int *)t9); + t11 = (1U * t10); + t12 = (8U != t11); + if (t12 == 1) + goto LAB5; + +LAB6: t13 = (t0 + 4960); + t14 = (t13 + 56U); + t15 = *((char **)t14); + t16 = (t15 + 56U); + t17 = *((char **)t16); + memcpy(t17, t8, 8U); + xsi_driver_first_trans_fast(t13); + +LAB2: t18 = (t0 + 4816); + *((int *)t18) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(8U, t11, 0); + goto LAB6; + +} + +static void work_a_3230118638_0181651160_p_1(char *t0) +{ + char t1[16]; + char t2[16]; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + char *t8; + char *t9; + unsigned int t10; + unsigned int t11; + unsigned char t12; + char *t13; + char *t14; + char *t15; + char *t16; + char *t17; + char *t18; + +LAB0: xsi_set_current_line(19, ng0); + +LAB3: t3 = (t0 + 1032U); + t4 = *((char **)t3); + t3 = (t0 + 7176U); + t5 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t2, t4, t3); + t6 = (t0 + 1192U); + t7 = *((char **)t6); + t6 = (t0 + 7192U); + t8 = ieee_p_2592010699_sub_16439989832805790689_503743352(IEEE_P_2592010699, t1, t5, t2, t7, t6); + t9 = (t1 + 12U); + t10 = *((unsigned int *)t9); + t11 = (1U * t10); + t12 = (8U != t11); + if (t12 == 1) + goto LAB5; + +LAB6: t13 = (t0 + 5024); + t14 = (t13 + 56U); + t15 = *((char **)t14); + t16 = (t15 + 56U); + t17 = *((char **)t16); + memcpy(t17, t8, 8U); + xsi_driver_first_trans_fast(t13); + +LAB2: t18 = (t0 + 4832); + *((int *)t18) = 1; + +LAB1: return; +LAB4: goto LAB2; + +LAB5: xsi_size_not_matching(8U, t11, 0); + goto LAB6; + +} + +static void work_a_3230118638_0181651160_p_2(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + +LAB0: xsi_set_current_line(21, ng0); + +LAB3: t1 = (t0 + 1832U); + t2 = *((char **)t1); + t1 = (t0 + 5088); + t3 = (t1 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + memcpy(t6, t2, 8U); + xsi_driver_first_trans_fast_port(t1); + +LAB2: t7 = (t0 + 4848); + *((int *)t7) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_3230118638_0181651160_p_3(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + char *t7; + +LAB0: xsi_set_current_line(22, ng0); + +LAB3: t1 = (t0 + 1992U); + t2 = *((char **)t1); + t1 = (t0 + 5152); + t3 = (t1 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + memcpy(t6, t2, 8U); + xsi_driver_first_trans_fast_port(t1); + +LAB2: t7 = (t0 + 4864); + *((int *)t7) = 1; + +LAB1: return; +LAB4: goto LAB2; + +} + +static void work_a_3230118638_0181651160_p_4(char *t0) +{ + char *t1; + char *t2; + int t3; + int t4; + int t5; + char *t6; + char *t7; + unsigned char t8; + char *t9; + int t10; + int t11; + unsigned int t12; + unsigned int t13; + unsigned int t14; + char *t15; + unsigned char t16; + unsigned char t17; + char *t18; + char *t19; + int t20; + int t21; + unsigned int t22; + unsigned int t23; + unsigned int t24; + char *t25; + unsigned char t26; + unsigned char t27; + char *t28; + char *t29; + unsigned char t30; + unsigned char t31; + unsigned char t32; + char *t33; + +LAB0: xsi_set_current_line(28, ng0); + t1 = (t0 + 2408U); + t2 = *((char **)t1); + t1 = (t2 + 0); + *((unsigned char *)t1) = (unsigned char)2; + xsi_set_current_line(29, ng0); + t1 = (t0 + 2528U); + t2 = *((char **)t1); + t1 = (t2 + 0); + *((unsigned char *)t1) = (unsigned char)3; + xsi_set_current_line(30, ng0); + t3 = (8 - 1); + t1 = (t0 + 7318); + *((int *)t1) = t3; + t2 = (t0 + 7322); + *((int *)t2) = 0; + t4 = t3; + t5 = 0; + +LAB2: if (t4 >= t5) + goto LAB3; + +LAB5: xsi_set_current_line(34, ng0); + t1 = (t0 + 2408U); + t2 = *((char **)t1); + t8 = *((unsigned char *)t2); + t1 = (t0 + 5216); + t6 = (t1 + 56U); + t7 = *((char **)t6); + t9 = (t7 + 56U); + t15 = *((char **)t9); + *((unsigned char *)t15) = t8; + xsi_driver_first_trans_fast_port(t1); + t1 = (t0 + 4880); + *((int *)t1) = 1; + +LAB1: return; +LAB3: xsi_set_current_line(31, ng0); + t6 = (t0 + 2408U); + t7 = *((char **)t6); + t8 = *((unsigned char *)t7); + t6 = (t0 + 1832U); + t9 = *((char **)t6); + t6 = (t0 + 7318); + t10 = *((int *)t6); + t11 = (t10 - 7); + t12 = (t11 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t6)); + t13 = (1U * t12); + t14 = (0 + t13); + t15 = (t9 + t14); + t16 = *((unsigned char *)t15); + t17 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t16); + t18 = (t0 + 1992U); + t19 = *((char **)t18); + t18 = (t0 + 7318); + t20 = *((int *)t18); + t21 = (t20 - 7); + t22 = (t21 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t18)); + t23 = (1U * t22); + t24 = (0 + t23); + t25 = (t19 + t24); + t26 = *((unsigned char *)t25); + t27 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t17, t26); + t28 = (t0 + 2528U); + t29 = *((char **)t28); + t30 = *((unsigned char *)t29); + t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t27, t30); + t32 = ieee_p_2592010699_sub_3488546069778340532_503743352(IEEE_P_2592010699, t8, t31); + t28 = (t0 + 2408U); + t33 = *((char **)t28); + t28 = (t33 + 0); + *((unsigned char *)t28) = t32; + xsi_set_current_line(32, ng0); + t1 = (t0 + 2528U); + t2 = *((char **)t1); + t8 = *((unsigned char *)t2); + t1 = (t0 + 1832U); + t6 = *((char **)t1); + t1 = (t0 + 7318); + t3 = *((int *)t1); + t10 = (t3 - 7); + t12 = (t10 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t1)); + t13 = (1U * t12); + t14 = (0 + t13); + t7 = (t6 + t14); + t16 = *((unsigned char *)t7); + t9 = (t0 + 1992U); + t15 = *((char **)t9); + t9 = (t0 + 7318); + t11 = *((int *)t9); + t20 = (t11 - 7); + t22 = (t20 * -1); + xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t9)); + t23 = (1U * t22); + t24 = (0 + t23); + t18 = (t15 + t24); + t17 = *((unsigned char *)t18); + t26 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t17); + t27 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t16, t26); + t30 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t27); + t31 = ieee_p_2592010699_sub_3488768496604610246_503743352(IEEE_P_2592010699, t8, t30); + t19 = (t0 + 2528U); + t25 = *((char **)t19); + t19 = (t25 + 0); + *((unsigned char *)t19) = t31; + +LAB4: t1 = (t0 + 7318); + t4 = *((int *)t1); + t2 = (t0 + 7322); + t5 = *((int *)t2); + if (t4 == t5) + goto LAB5; + +LAB6: t3 = (t4 + -1); + t4 = t3; + t6 = (t0 + 7318); + *((int *)t6) = t4; + goto LAB2; + +} + + +extern void work_a_3230118638_0181651160_init() +{ + static char *pe[] = {(void *)work_a_3230118638_0181651160_p_0,(void *)work_a_3230118638_0181651160_p_1,(void *)work_a_3230118638_0181651160_p_2,(void *)work_a_3230118638_0181651160_p_3,(void *)work_a_3230118638_0181651160_p_4}; + xsi_register_didat("work_a_3230118638_0181651160", "isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.didat"); + xsi_register_executes(pe); +} diff --git a/isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.didat b/isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.didat new file mode 100644 index 0000000000000000000000000000000000000000..c25fe90c648e5266675cac259c0f782effd7979d GIT binary patch literal 4512 zcmeHJzfTlF7#$UVgTF;3s276?)!ps!L!j}9!DwfqiNr+LgWYf^a(CV>a#WgVNn?VA z2_cro%ECfpLqbFCUqE4DLm{y-n&5l8^TDzncSEF5<4fLrGv9pk=9}5s*?rwK_x;H? z=e7bq?q;b}9DKT6shJRe=z8Z4rKS)!vqz~#uwk!K?Vz_)sW$lA_bD|9UfHkISFo{B zsWf;4ybC@CUx9ByA7XX0nu#GM8Ht-}LLQpauydO44k3rbh}V1xOJSV z*f*?W+#smHBrQOnFxsYH=B3@{k60_%Cb2cDLD$7cyG#3Mh2j2cb%g#h4}DR{*r=av z_F=g`maz|f)btVe0^^tT;Su|N*d{-&+B*E;O%y8{!JI2A9Lnv+u~9d{Tc99?$^mI? zvE}@CKy1Mu0p>Fg2KnIdYS7Pp=Q*kd_RoUS&+$uOREKT8IueKKI#6c;lzu+@6L1DP zF|-2EUklV(26<)(=H6dLhyGfiPAdx0;rSRvJD5MV;n0;w>$|9P83nE)AAHwdpdGAB zt!^~+kAbp&KR8rS$3t;Y`}p3>SJ9z;=>;t1>ltoX#5%)xzO zd^0LXVZUe{@#}r7E5+wTor@T79JU3PZSmJTM+o&HcMk9EW_T`X9r4#YM_R_eUpW6F z#-D`!pmq4%>8FKD&IM}!4!FMOAZ-}_-sywNYgzh=sPG&M*vGwjh9dmj+TaUohBfXZ z_LK;~vX5imM>NjY(3nPSOGx8isLFnEKL}Y9mg*z$uK70`FV8Ki!~2Se`ZpUNs08l` zb7S7}PRqMQP5Na{RO>?WpeC8tgi)VEce-d&zgC=_Q^_rjc#;U~$^AiFOOIGBljV3a7N2()fSv}ny WJ$BOSvAVk~t2-HYa!$t6LO%gV&cwz5 literal 0 HcmV?d00001 diff --git a/isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.lin64.o b/isim/tb_isim_beh.exe.sim/work/a_3230118638_0181651160.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..5616b2fe3f32bbd68570e7a96e5e8bd7591dee34 GIT binary patch literal 6312 zcmb_gZHya786MkrIkyeAdxR2bY29{(9EteW-@CL#UdO)dp%n>D4v>;`&u4G$tn>L! z_9h%9sEu5rTQ(OWDv&52ibQ`PwSN%eRMDy_y`US@OSCr2ypHs$_L*oZQLshMlf~4Ax+Jy(0l4dsrY3$Uc>AMISvn%9H z5@ei@F^-BH_0**`;HVBK#5fN!j%L@<&c$zmWo30h7=j7aE`#3S^8l$_e)JO9LT1=1hS)K$?(H?Yl6cSjB!^C|iHuY((k)Wk|X4hl=H7 z8!JVZcSzA?6V+W)s+&!8`8q?Q%a@S>@wp7lcX4Bj;w(Je7B>?olsF9~e21ZgN2AM+ zOXbCrLX6Mkj@2QPENq7xA`KZVv9ULso_rUBioHWXx1t@8--tdtaBF?N`(8k?b_nw2 z=-E*izI**&>+1(q>mO_j@7qXx>6JKl98~)`=LFfqs^uPu)h?l@;qVG?;X|B-HDIs< z1s0r>$y>NS?4GB?E2?!wSW~U0a83N8f%fJV1zM?}iCD z@qZk%zv2?KrMeK)mh7Ft((LXJfu&mSsMZ@AM^ddzifszpySKu}_oz#+obQQs95h&- zYcxvLK7wblDTvNPiBEVDpM;g4VgMJyaC6S>wI5+Nzr}3UFdImzi7A!y&vtROXbCuy)S{Zo4h zQ*Cq_vm@rDj;%gvOec*6BZ=4-=h`zPRXvl=h^ds6&q%tMl2Z9xDwP+L^+vsFqBjr~Ga6@qJyFPPqz+a|wzr^+nkpoM?GojN1 z-NE1sccW<{0Q`H(xq|J{$bqxLrO=sy(}Ue@!IxYX;K|OHX3gp(v}W6Fr&+IUG^vHmW2 zJ70MuQek?mJp?%Rz45aRNiap)#y#WxF!rtqQWih#5*OTt;QC`B!s2J^-vF$i{{%e{ zj8DyM=(BMhI{o}R4=T(tKjjS)1?38z6sO-#ml<`dbP0jRg7q zRv2;mka?Vh*u%r&_?`+lPk;+1=-i{w24RMcoo^RlWw-*)iFJu=-BilILD!|^P7{2N z(2h(Oli^>Z_?Ic}e3t-wk>X=CHRoFd7)pqX(?#5Sh>NEer<=`#&<{B$t{42dGu*sx zzjknT=zDcSvt0XJnLSG0JR_fun%7F!8IRz)(5wJ@bCHHmwoU7 z*zbPvOFsCF4}RVUf7J&kK4oFDM&^xVE?toSWA&)d>siv861O5{wj!jrBBZt=h$N}) zb#7Zw8?A;(^nKd?lA`JRM@J7<4(W%Kl2*~lLZ_j(jj2Y*G}^jbWcNT&oY#(6{FuvzV7<(l87IR`@oecaBf$nL;)t%9(UJl@SYhxsXrmIT652 zCYSb8$x2coFJ9)OHy8zaG0@K&yfg7VLdZYy z{~M49oktvh1v4D`DEPX>(uUz5MGyq}sLOEte+C8l_^oC59t1&?lrS)7ki-0Qc(@%g;wIUK)@%ubi$ zSQp^$Jci?Y6b1caP0R3~a5!)0Z4Spj_!$4H4}O!waqk#^5EBCN<`t#5cfX1p&d2k# z5B?nv=kt1z!}<7^Ih^Oe$>BWz7R9mQ&s&(*1K#*|aX7!fMT&dve469)`#Zzoyq$0R z*!cm+=k2^qaqoG!$noQl8{4mUIs9P`zZVk)!R8UT7=JH^Kg!`vihJ`t!SVSxzd> +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +static const char *ng0 = "/home/ise/gianni/IEEE754Adder/tb.vhd"; + + + +static void work_a_3671711236_2372691052_p_0(char *t0) +{ + char *t1; + char *t2; + char *t3; + char *t4; + char *t5; + char *t6; + int64 t7; + int64 t8; + +LAB0: t1 = (t0 + 3104U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(81, ng0); + t2 = (t0 + 3736); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)2; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(82, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2912); + xsi_process_wait(t2, t8); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(83, ng0); + t2 = (t0 + 3736); + t3 = (t2 + 56U); + t4 = *((char **)t3); + t5 = (t4 + 56U); + t6 = *((char **)t5); + *((unsigned char *)t6) = (unsigned char)3; + xsi_driver_first_trans_fast(t2); + xsi_set_current_line(84, ng0); + t2 = (t0 + 2128U); + t3 = *((char **)t2); + t7 = *((int64 *)t3); + t8 = (t7 / 2); + t2 = (t0 + 2912); + xsi_process_wait(t2, t8); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: goto LAB2; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +} + +static void work_a_3671711236_2372691052_p_1(char *t0) +{ + char *t1; + char *t2; + int64 t3; + char *t4; + int64 t5; + +LAB0: t1 = (t0 + 3352U); + t2 = *((char **)t1); + if (t2 == 0) + goto LAB2; + +LAB3: goto *t2; + +LAB2: xsi_set_current_line(92, ng0); + t3 = (100 * 1000LL); + t2 = (t0 + 3160); + xsi_process_wait(t2, t3); + +LAB6: *((char **)t1) = &&LAB7; + +LAB1: return; +LAB4: xsi_set_current_line(94, ng0); + t2 = (t0 + 2128U); + t4 = *((char **)t2); + t3 = *((int64 *)t4); + t5 = (t3 * 10); + t2 = (t0 + 3160); + xsi_process_wait(t2, t5); + +LAB10: *((char **)t1) = &&LAB11; + goto LAB1; + +LAB5: goto LAB4; + +LAB7: goto LAB5; + +LAB8: xsi_set_current_line(98, ng0); + +LAB14: *((char **)t1) = &&LAB15; + goto LAB1; + +LAB9: goto LAB8; + +LAB11: goto LAB9; + +LAB12: goto LAB2; + +LAB13: goto LAB12; + +LAB15: goto LAB13; + +} + + +extern void work_a_3671711236_2372691052_init() +{ + static char *pe[] = {(void *)work_a_3671711236_2372691052_p_0,(void *)work_a_3671711236_2372691052_p_1}; + xsi_register_didat("work_a_3671711236_2372691052", "isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.didat"); + xsi_register_executes(pe); +} diff --git a/isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.didat b/isim/tb_isim_beh.exe.sim/work/a_3671711236_2372691052.didat new file mode 100644 index 0000000000000000000000000000000000000000..7db5223c4aa912522dbaf0520e511bb02507103f GIT binary patch literal 4052 zcmeHJO=}ZD7#`dD-D2_7i%?Ot2T8J4Xcf*w73 zQb7+MiufaX@Sq1lMDXH4K`$P>SP-cYpJ%g^j_cBHp@LsedFGvYzvkU{X48Gtv#@mI zt93~LA2xFoA_3m)5aOP~Z~lC+Q;5m$kX87 z?Wi4mxDE9~64Xfs*VM~>DK~f#%LCUWwh-9Pn@b%f+Sk_69_FL^b52OvT7{1?F3d`s zY~eL*isNeMEGYr>Q){GOm+y!93Kn zxRvregMA1jcxU>MB$&t3!?seM&#)f^3EsaWNaCMYyFaw`gMNO|yiUzBsNaR*kgww1 ztzsRPXE$v0C&KcafN{8GUbm6^k;5qH6l9-Y7&nkM&Q}A&=zkTH3y>$3Jgde2>2^N% zT@1XN5(Aiccgw#i{MnJVY50!F#Xl<2~Eow$&8qSWu{V_FjcLY&@!5l(ljFxt->L{#9F0b zyKcl7x9&`uRfOR7{S4k$g6}N9Db~97f-!V-Dt{dv!Jk^`CVKa`rjF}O;)a`)Ca!MG kZrCyE*rhnSWTdsUrs+w;)RSr5$ZCm{97%Q6oAKe(z>*`A(Rr)AS@A3Dz$5`^J9bfahkeWBr7RN5IICF8+#M4>Yr$L z+Xlo>B&$J|vBaeUaq1x##DQz2N^OBETtVW%F(=dtgvtR_0V2FNJI|hM)}?|cjc4YY z_cw2M#`}IQe*i#lXX0)XXvM(oHwKv#m9`n;VNAC)XAZF?RjRMu@!j?t?|G zkz+r-PU>&8)tmLRQS=CzjIL{;-?bLE7YTJt2g!7)ZQAO6Z)ax*9c#_Sp{Uj@5A|vF zTLV1r+nD#=e4{8QABW7O-yQL0tU zgyoot70akrt;8$2TrNE}I#Dc|HaJ!;l!^>}>0NwrFAwlDH97fwe0Xa5wd3(oWmHMW zQ%NZU8G3t`h1U8)#}4g}egp>r`Jh-E#{P7yuMmr} z0T_-7eii%aSbQT~kAy#qMdL6%iwxud9;Ufi{KN1@q}~($4NN^zoVO~jvBVU|wY|PX zBik$+ifgX9Oo6f)Oj&Xqrr0%{pt`DLc28B9q77Goky5S|jItlvHR6R@rD9gWeYNJA z3e@PN;~Ir?!oV!)%eGN5MWX+&i-S3q0MD%~SuwfeyKJOuhHD&yUq8czJ~)0T!(p%Q zgAQF$dGYz6JPQqZ1SgW55OxycLw~FjK+)%awO7Fwk`(d%Ri^x@ELkuLvcD|s zpOl>nT|{I*FUJ1-Z%TDTeG;srEHg0i*96xyaIv8zy^f*XerS|G)!zb!x%lIME8>$r zsBrPmi$H_omqdJugYgeQBYWcS0K@o{Ck(m#AmS?oL{D|2{t|E+%c}eQ8}iwc?x(_D zgd@zN!hW?)yaDA;_%aNF_P;LnuL(umKm48U+5aQJf>K=*a8uY*=ie*N{kfy=6_MzI zSj0H}&?tYZKR%P7`1c-y1(2h@fWE1x6!43BJ?z(=fF+0*>?IPI3Fa46VdT9*?t%Mn zGsf_q3h@)*nc(w4c^X<6R)+bEv8$p#4Sk5eu^ynhX)s0oZ4Z0@A7UJl{0qdph~#HC z7nh_AVI1La%5I3~lm+a!%K6^?B91WlMDd9O^M1&mg!YZ^G1Y|Du>ZUZzutv^--X}l z!vE;PS(ncXrNCBAWlT+G?)w#@uj(qRt|b3WDan-lB>z$GuWD5-m+4danNt&aedf%W zx!k-yKXEFb)7hG1>9)CIIj(8z-j~!a1Ycl<^RDS|MaOjY!gpIjQSbyE zfkylZgdpe#-xA_aAp}AF$Dk3PMhJrX_|_6%KnQ~RN1+k--dB%5F7bC^jNC1jTmbe^u zQ{uAzHxifQiZbw%jkkk1W%UmCC)vN$<(W5Rll8hJ-CqMW-gF<|p&lvj$ D@~c6$ literal 0 HcmV?d00001 diff --git a/isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.c b/isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.c new file mode 100644 index 0000000..ebf23f8 --- /dev/null +++ b/isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.c @@ -0,0 +1,40 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/***********************************************************************/ + +#include "xsi.h" + +struct XSI_INFO xsi_info; + +char *IEEE_P_2592010699; +char *STD_STANDARD; + + +int main(int argc, char **argv) +{ + xsi_init_design(argc, argv); + xsi_register_info(&xsi_info); + + xsi_register_min_prec_unit(-12); + ieee_p_2592010699_init(); + work_a_3230118638_0181651160_init(); + work_a_3671711236_2372691052_init(); + + + xsi_register_tops("work_a_3671711236_2372691052"); + + IEEE_P_2592010699 = xsi_get_engine_memory("ieee_p_2592010699"); + xsi_register_ieee_std_logic_1164(IEEE_P_2592010699); + STD_STANDARD = xsi_get_engine_memory("std_standard"); + + return xsi_run_simulation(argc, argv); + +} diff --git a/isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.lin64.o b/isim/tb_isim_beh.exe.sim/work/tb_isim_beh.exe_main.lin64.o new file mode 100644 index 0000000000000000000000000000000000000000..afd97e2ea15a1a0f5980b078afa815fd5d1652ad GIT binary patch literal 2760 zcmbW3&u<$=6vxMQOHEr$T3QH{N)|%UN_5#>Cw79MvXeM*h1H@sl|U68ZS1MDG~Nxn z8%U85he#-XOFRy>}IF6|7&shUu*c+FTkz)uffIfH-C>tqd0mn zX49h9XOo;m@%{72YYp?Q;SWjgX=SSQr{Ql&`~LUETW^0yN$>l?UGDHowPw{U%dS+p zU8&jCMa!JGnJa|gJKUaMv`x#bE-tb#>hUmgyq**E7+YIjex-bA?fT8jU$N5HS zcE7MvD(62f%@Syoip&V_4I*cgd=w;pOGSa$c8o~uMa<|qk;9D5Fl0vHqXM~QSj_19 zgMsi!;`xy9#cL$=uBcLHZ1 zNAb(pm-0P3MKQu6AlYo<*bC&r3$m`6WTH^}g#AOV z%2)ghG5y&i^FWTjg-Xiw`J=AS9dh${l5`*&Pg4~|A0MyTwDBLDNb+xM18UVoH02k% zW9*O7lqMQ)dM|~kGr|0(Jz;RUNNtb;laoKf)#@h=$vzzI=VB~5&JsV-KPUOi6Euzr zM@|98UZmEusr9P!0N>rrICBy#W$<<4XV{-3m81Nv4E-R3A7t>aGWfR{{O1h*PX<3l zTaNjw9Z>!vakUmo9oy9m{p%Te7Hx7jbO(G>Y#Cxt@PXrcMwc1wWfMPiBea)o2Fmza z`^HkE&2Ox(cA6V}qp{R(a<&(`-1Xdu_eALSJz2lw$3Ym+w;Y z(W^A>bA0{U71Od7s+9$9S_@Wn-mP8iRuFCs3yzU$GF#lR2l zv1`p{lfRL^%@1Y9mp$(HZNKk!In7{>bv9ObXQOd_rLn$}tasPr^fY(3oyhe)+7+A~ zFnoz6D*w`78Q2qmWH?72r=qwz-=N3-DxQ2lNk2II6vy`fgZ?ZP#jAj1;22BsI>j(> z{MS%?4Ui1S9^Ns<+kj-ypQoaD2apVUtU>WN0m-0$l8WLSkPOLY_CKi|j5`1T literal 0 HcmV?d00001 diff --git a/isim/temp/comparator.vdb b/isim/temp/comparator.vdb new file mode 100644 index 0000000000000000000000000000000000000000..aeff0735a3a3242aab0e34440d8b0248bdd39a40 GIT binary patch literal 4233 zcma)=OK4nW6vrpVN21u;V0~1v5wTPd>%zB0GMEW7gLOb$3Chq(VmkG)a3KiAt4_&8 zYHP8D1Q(qoMX^B);-Z~}M7JuqaHX|YsB7OZQ0o8p%{|vMckWmZeDj^}od0=z=brDo zHyL?q>)^$?Tq`F!`@+`2p&frsJ-k!K*UoD3+4o+3earLn+aAm1*3XGF9e7TaZ&o(|gHuu)Hj~_9}h0>Ku zrNRcy$5)q@#*2lC>civZ`s`g_eDwa48>^F2Xix8+#-Rmd%`lx`BO_u(Nq}d>iNuox zfjojd9;#KRY>pvaUMyE;YGRlrMY3{q7rAS-V0bIdGqNL7GDKFN;kP|Az$E%x3Rngh zXuC#_-E^><{y%nf1ipy7D?c?`E|n@Ve*!)Plg`JcY|tGV*b-m8nE0tzc2|q_!h`r} zA3eFY&_41;#S)HjEKPK8%zvx2d92@PoM8(hrqcq_lGK#hURM z{!%|*X$+*508fFqPgcwbAm-bg%=3|xTNmKO%QwiryMrYNd)e%)Z9nKj3f4HREd`U2 zg7J~#<347OUQGS%oQch0N^EoCV-MK<0Wc^CexuNrYleq3ufh8|`LkxcokeieXS@7R z4{TXa*C%Ge+&#9Ih06}Q}m;P(E&eb5O1SwE#6FhVw!j} z?ZaKCf_Qfedu5EhLUXCUoBMFwPD4u8ITgY|)PtG*5+7oEPCixX5TEtbEWaO|2ug9E z=!t>3D#noKK7KZPgw|d3tDJCyXeUX=$O8Q)20n7iP-2z(Cn?f$O7cr=^R`PjIMWZ= z{2trcR=6x)YRtbjH>O+MGR4^T;f?=@jZK$nOok0PxJEM(6N;Qrj#NRCxyMOq`r(bk zXJv+mYqjk0U_uo8NC*ce3=T$zzmxI_iMOO3NS-`gstaL5!x;E7t>>CAM{{E``@Z8vu z4#-}I#Tm3$%nl8&>uA))s^gHxum+Xo0bZxsYy&QGLO`7sAT5JtBSzJ(9@URmLuPuS zC%K?FH6-Mtux^mODL?1x5Zy$EBjF3HuTwj6eVuY1QErNzMJ_|+p@mUitwGJMmk>ol zkrO5s6u^*~0222g&r_u=uhAN(cBar|By7IJGMI(o>Z5TAw8AoQ9qPnUGGl zTmB3s7Xgl(A>vNsiRmJcXn&3^nUV2<;oq64`LZ;bnum|zc+w(}M^M_VZ_l20v?Xh< zyFM?A_$ByJ1-F+kGOTD{9)igu$MeF|>$Ol& z6Z&Hnlri{DhryV{&5^fPP4ss|_~R%()O}IYd}rICd0PC<7{|0M|8mfqs0m5bj;a}v z7>OFj616o+8y`8IxSgbZI$Rn(sF1Wz^iLTRM{neH`y)ilK*)JTL6Os6qV;lfzPWOs zVXsc!Qf6+Ahb3-VBFCSv3C)JG81LCT#N^4hjr`E?-(f{wxJ)dVL)5HV!yXXGT37XuAUQJesQFC;K*OoPc!J@b1*jeE1!I|c~`D^4g8?m+RCYov6ZF9(hVBa=8{^q zQp;EKQ(EVPrAJlp}g-mo22am)>f`<^?=P=Kw4!7)a;&Jk9UqJyOmwnFK@-m7ks~B}qB*AH#LPXx%pNx00cElh^CASxrwx55Aatr_K3g z^nD=Oa1Fe&u)4gEF_tY`8SQHo$w<`Z_?9Y#YBDu`qF6au>>R(zT8s8*U*D?!A=%!c zo@@o>HqM@nody}*l>k%yvqDv}DmAb_&3QLxY!v=LPG*YImk_ zAf1Cum^!|5SFxMwI++}Pb7s+fs7Z+Uh&&n=oa4~It43@JA>xCBKgEc3xZ9ltkNOa? z37Nq7?IJcEi;jpPmiz(r;NiMqy;qht8f^nKAsIF4cUtO0O2wAiyn_WAHAW-Yyh6~z zb70ag|QQciqJ`<*n?^eFY_qGrI;>tuK5Mi{s%-rPFp$m zr=oaAn)Xb9W6iy#y^-Om<5jHOc5l1+Vj+$1d_N7Bt3dv3f7{uy5{r#Ui)az8Jyw_! zEjK068lF0Sr)bTFXpQfT)^O&7I-@mt_h{|O43_$EAE%nl@NfpZz5k?oKnAvBNR@jK zW5cg%tS2#hN@W-7#N~$Zh{ibWQ%fcbHJ(zApyAZQcsmQ;4%^ld#8OUkgZk`OJItVi IhV>8nUtX9FSpWb4 literal 0 HcmV?d00001 diff --git a/isim/temp/pr.vdb b/isim/temp/pr.vdb new file mode 100644 index 0000000000000000000000000000000000000000..2c0b4b702d3bde247c5243188c3d7d837e8cfce2 GIT binary patch literal 4733 zcma)=OK4nG7{@2ZN7G89)%rqB)GBqOE__QQ!6ak`>wvftlwl@`$yD9AQ;Juek}1|! zF$IHSCrwe>AOvwyvykY*jS4PYX|1hRw}S8bp#Fbn&NqI!b0_tKbIpnM^YvcqcpYH2k_spsBMe4*dlIWbo<^)xlzd%3a=bKbZ~BNZeL@hSR5J|Zsey%8u@Ld(Z)H_ z3$dE>Qn#b;kuSW%dtqUIou)!%s8AfLPSrj;IP=yGA3bO)XG@mP=SMbbKD;he; z@?yC%(-YH~S0rmkv#HIe$)S)xDLr~YM))XC<|mu;++-I@f`23gWuOOa)yS}&h_(~| z$Cfv5ajVm%5VtzLlo^*c0b4YPo3agYWt$l{ZOfY=E_IiO#-|GTd@SKjSasw+{4DQ(f9bX5eXka+Sy2ztSa(JIJ2jPM^o_=GjT0K*Y5+{f zWWW~ST`?Q~sQBdcb<}01_s=RX9|!T5dVHnPl@_9S6pg!O#S8;rzRB@Co;W@c*(o^j z{EgzT-Z^4~S~fdts|Q&~!4@pErC>Z#Fg$TMP0)U(M$hIw*!~t8);h7xg^%53=M;)T zK=5m%O&#EX<|T07C%?xHw=)1e`mqp`^{jmfYk z2Ult)Vha+-l%w4E6v^D_xV-+J-ky^(!^2e~+dLQ(!A=swfiZ)F{+{n8d{peK(+dz-L0}Hjq`soyjMH)I-FV^>XYsj=Ev;wqZQ&cTH{BcTVS%9KO z%Q|s*aDq|AF)wkrSLXb%Go3R!(FWP!ba4jk5OTAImo+81-1+L`dW{Vlbe0#`r`c?S zUgDT2HJ1QsnQFF1zfLo+)d|;v%=E;Xv|U3&J_>Y=?AcNfpI@wp;5stg6z*&to!XY` z=#>44@WSXUaT&r7OBms$8uYAROav`R9J6IX1Nx-`BU6FIy^_c2QkHR6mrRd9tGbj9 zu$I$wm)e|KmwfAKN}ARsWaV0ALN+Z(9Fvu7r@Y-PtVd=jzj5KUTtoy-q`&frGd!*m z{_BXCyQ}fEc=#;GNkjmzL2I*aw{2fzOV(Tys#3-u*nS1I&nrB%S++Fa_=&^$&{ay+ zvHbS`uJv42v8y;eR!5e7r-Q+C@dNmS!DLN|VksVe45)#;%$Aw=PYuRT9Db%6%!V2a z_x7D?FkQ>T*I+WG79d>%7pnt`r%l$XBPb@0>99Hs5~g%W;v#qGR? z-UPR5+b~ZEzh1+DWG@n7#Rh)jm?Uf4Gzj%TnPkn-k~Nyd4Nn}7FH77f#F3Gq7ZOh= zs{_A1Sz{7_-%!V0g_f-8KoiVpZ0r*tGyq*u5$%DD83Rq6$OvRQ7RYF9(w+QE_UP&2 zv|>M`LIyE7A6+1E^7BL=sPC#T?5WvhfbXuE+m_RFBzEkKx|FQ$41e mRgcL+G32cr@nb+e_B-5o_~8phEs2xktL;K=M$DV^$J4)2*ICg3 literal 0 HcmV?d00001 diff --git a/isim/temp/tb.vdb b/isim/temp/tb.vdb new file mode 100644 index 0000000000000000000000000000000000000000..f3c719556b5c63e0d82f1de32697aa51e7960133 GIT binary patch literal 4802 zcma)=O>9(E6vw9)mEs3j1wYV$(GW!xR%nD8CS_{h2#f+7jhacPtz~N5t1-TY8Zdzn z7sk|>I75jd#Mqda7#F6vGtrH4rN|0*B7QDh82$h5-19hnePuZ0y?gHeeEsjo-1|!Z zi|cx?DV16!$@y2-^)7$)pV8;&^zd3AAM}e~e)GlsrMVU8eR_@^IXpXXWV$_ll{$mX z3!m+4o%yh*^h~KV|DWVuq1B2`a^ zaAjwG&yH$yV(a&3K7CBNF-Gfp`xhb~Wmw z)&_?HAGjK4^J%M+O$XHmo1M4W>6zFFz|N_|lwU2K79a4l!lC+845X#z>uyBq2HziK z`%zC%-bkUdBzRO&cSUbCVGbX1nAcN>+bBum@x?1eR>rd0Mca6=1qwDn^x;NX!@Qn4 zoJr9BVT>O3`$--p=8xKz&e!g--vy4rK=Hffy+JMWg9w`gr%#LcJ!QJ>G5oIAlPJ$d zwe)!!Bb~R@Aml|M?gAzWY+q8`CbdA!^T>EI-f3sXd!paJ3P$BIPFtiC`(VUl>{yH) zyOLN7}pdRWr56l(TWdRVYyIHz%R&1!N*uuK`SaJ}{>W!2~C#p$Oi~8s2!6=&_ zx6dKd0{N9>66W(a>A&mwL(iXj{?dcI?AC|=Md3c~4z~{25$2kFk4e#anXOam^i}d{ z)SJV}c`Ws#wJ{A0}|KbvBIrjMKQ8J$1g_xLFJwOwV&O zJgZy4&Gu2|BZc|jALq^Na5KFdH}iv=>3MF3XLSp>**?vDq_8iHo7v%JdN*$72RGC6 z+zij^7I51lqu@+fQ}!#|Qsp)~clFftn@0}~=m_)?cB08KJH*&Hje2FWJd_N2U2qT% zAFh#K^xUVotwN<<_VD>mc6=XR=v#Q$n6ECIEbmf>%hxflJ^{RM;bCIF+oLaI>vnip z%s`CcfOuo-=%J}hvDxIP!TUOmvK+lR)~oeOBN^GTYq-8=INyJV^^)AGvuUkb&CWdE zD9omy((K8Tu~8=z9rl^mZP=02frK|k{Mn>$m-)wqV!_k&&RL&~b4C^6H^ubC54(8N zWBeHB2=RHm-^C{`-gM$wT7K)q=kc3eeAUI99^=ROJrbYCZ*lQYxOmh37T|Y7jw0LY zn8)Ung{sATH>hp54^JIEerzCYjYWVQf@rnpQ2Y(vu`GOVRJDFV8eLWeEXV_IGvnQo!`fF*YA#2V;aKe_AbgpPsPZ zN2X`n3pz3cQP34F^)?N~mfEs|1=((jdZ=Ylcvh`Z3r_aZHJcf^R?KlUI;0LG!9?xd zIDOBnSD2FNGb7=q7fs)jaly@Jg_0ttW04W*g++o_?xmREyedurEf{~7_)l#T>Svf? zxa)$8jXFWRhkjCpf0`}&w5MF^Qd4TMsaN=}`di)iWwomhoCwZK?S~9covsNgUG6*2 zacw3ETf$OSG0G*ul>wmBQ2kM$_bpQYW literal 0 HcmV?d00001 diff --git a/isim/work/comparator.vdb b/isim/work/comparator.vdb new file mode 100644 index 0000000000000000000000000000000000000000..8251003e6209a5702f9896bd1a6bc7302e749e6c GIT binary patch literal 4228 zcma)=OK4nW6vrpVN21u+V0~1v5wTPdbzyx{$zUeT46Os=s-O&=B&Jgz3m1Y=yy}!p z1gpgs5?pkW6vYNHh>JQ4iEgXl!j;xqp{{+uK&k)VH}_o6+_}?w;G6G!=lsv(JNJCw zy~*fPTLv%9*%!794sHK?>TwnW{EWo|{)dl0Yu}bzyBz*vjh(gX6pLE5p0DNi z?5Y-@egD-rHa|bVb$2eeeol0|wB^ZtuP2CwR;^SnA3FZ-vBky3BdtQEk}piOO0$Jl zX;*c!b&2pHY-e5@bn6G@^CGR0y|S`2q^VrXmn-@DY~%A|b8m0`^ihM5T&YwlY|wms zb!ln5SeU3jGG4CF-t*-rA3Qlyos>e`dG9n1Eg)-#>HHcQ5i3dpJR?pdo+Jq55mqFd z`(elx3^g&#k|J3-x{KVkS}?qo<{8AjAiCoyr_J?9vMC>Gdx_cWse6F zqBuZ8I51&wFgE(%B# zZ_P4o$yx!D*lem1e*EQnQ~~n4LHotr zso`}Ujk;KM9MTxppt3x`8#J43z(r07sM7+ZWzcNInA+8&`tfSWOi%PA7Zj(4gnSg% z4YD`o7hD~pTgY%!J35b3J92%Uavo7`jGaZ!8S>D=D6iF^W;aTRBB96$6AKDp$V>o< zdywbZP?m94l?+GZt}3MioX=^xN!@CThMcO{V7IBRHP42;>ozc4w_RWpfP4^}M4kS##a>d0E7a z;71kQUcSh%qJ4P?CXXD?3s0}tVrk!hAK!dRVpnrGx+KfK-vwb<{6PMKFkMHZSc-=q zBlch~bY%FT57>jrBgbE84`#z2jQ95a_F&koJ(y0Z1xoLMC%&wB8#SAK07g#ek5y2{ z;O!2BF^QWaZ?Br@?}qTlQGBTTqNe%IwnOu@_**fKX<7c|pf^zylBgY3Ga@k(HH;-{ zYmzoTay)T6N&9rTGq{IIkzfcpJ4P`Oj+at?*(;C)t@(0OuG7Xe&LiVG4yY_=0 W?!U*xL{1N`=W|c8ghuuMhkpUI-6`_` literal 0 HcmV?d00001 diff --git a/isim/work/comparatortest.vdb b/isim/work/comparatortest.vdb new file mode 100644 index 0000000000000000000000000000000000000000..03cdbab2bf7a1f62401431fde9b2a7c5e615d97e GIT binary patch literal 3905 zcma)nijCJtu0_nd{Wn=hJ$vS})WJ z(^J*by-&8j_~zA5ZhSmRKK(~>Sd8-I!YQ#fa@OfaEiIQ{JNMq1wY9a^8^ubcP(0p9 z=ZlSWsyf-&DW4sB5T()TZ?~cUkm6zkTUu`psVUbAnWkxx zB+s31HYZBOIlqjt_eCGBffpB7 zR~B={X3JKFeYG+fi)@Z>xmv8H(-S93)sv;}{u`{dWS92!&1&zL?`>+Ct)OK6?Ah3; zlgnL>HH00>9N2L`l>Aw^MXUp2GXNf@)348QA~vJ_aR_|J#WP>igYPUm7T->E!1*3@ zzNY&nU|$iTmu+W^V{@TUcgA?vs%4+Nhub zHwsvgJ*Kn?$)HGgOQ#IEWDd-C%3$bwVER@W8^^LE zV}vC?q82<{H>~$7%j?aK4opN&E!ypt`hcclOKs7?f{dG@9%?};XyJO#ReK;eQh6iJ zgi!6RZ5VTyue=${ryo(hLsC97W=`)_zDIVq7u@*V0m%6{$T8_w(qP!?C7(dv5eq;I z#_vFmtOcU2I1IWz0I^Xgh-c_Xh5r-QMoO~jrxe3wE;PSjI{$#+s;!*-sVv?W(>@d6 zcyn)QZ{&F9bQLSv>f3IySV+^mzn_LHR1p7df6Mu?5=+e}OR&h+K32GrEjK0E8lE|Q zyKK#eY)$XZ)^Nr{y0bNV?`-Xp89epkKF&0n;o%JS`u;Q3eR8lJ!&JEkaW?#l&iW+g zpHlgSI&rz-Jf=QD2lS*<#X6r-j-cVx!gMN!ZKOGfqQ`Cp+y B3nu^o literal 0 HcmV?d00001 diff --git a/isim/work/equalcheck.vdb b/isim/work/equalcheck.vdb deleted file mode 100644 index 0534aae41b6b8db9f7e631e8e63aa8956b0d161c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3192 zcma)`7=?pd_{D(td-*NSrBJIwA!_DrE+@ly@gh*HP>!^G}kVaCf{n8 zAFmeM#N4IxVzH`CRu!58U`KEAPu>%5+@4L>JyXIQhn@E2i)`*rKgtfGc25rzvlMXu;0q|oyI`kNFf&q za8O=w287`rr}J{`^kiiJ3F7rTrC)z`r3h=e#d+I$u!R!r$S}7OOh*YO$4<^pFl+Q` z`foQ(VnqMQZ6SQ_0efTs3WBqOtO4omqW7A?{eeLtM9AzpbPYe1X9G# z=vwq^W`5UIkUFMrf69)lRW~H#RAc&7b5xI5D~g&L0^KZbc_pTvrgV!T=tB;V|IqNz zW!3qd)H*}!!}zwb9ta;cz`%68nYQ#K~vua4#2o0Vxw@@H?Am252p zqFjTJ#N=GL7dV`vJJz)!)e#2uZS~hMX>mjaR4{=tccv3>_?3=zvEHHdT H|2h5zGJW1! diff --git a/isim/work/nancheck.vdb b/isim/work/nancheck.vdb deleted file mode 100644 index 8d2ef09fbf616affa3f6964a1b9d4bee5db882c3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4232 zcmb`LOK6-`6vrn`YU_i>rqxQ-NlHN~h!3m}EJ_DVn3+}w#6?kr$e38u(!GNC1xNZ2 zQ@RKwn`Xuif*FP2N?eGFO9dCM?87eH*Y{59|99v6k9WSzOuF#EoqO)N=YP(*=bn4- zmy8`BAHFGb?hwjODlzydbLz8pIiO7xxBo*SYQ5pv0f;?alT%9 zZhESYJsKp(Ys2k6j1S1(CUMB#z0kmAxmqY!3Ufzm-#1Twbl*2GSOpWMQfYFV`qfsW zaj-b~?DX>o%X3E`|MBb3ULT#F(u5ZB=@kry6{cfko`d%o*%q~mfZDPO>Ws9E!LrjbQ(FitP^OG89QB6?$ zZv;g~h;OsnLbA}PwV8aQ7Mw^L`y^4&{&pAg&IbIfc9oz+bXVk`!oe85<(DMK#^qF( z9`El&dt%+6GT zg%B{84Z$)(FrP$xT5+r~7RM(-R>Q8~+a_Y)Lq6Uph6&ccN7}cl2LVa8hMYXnL-DCF z?^A*v_d-c_Zc~q)o7LW%KlT3nsk6-s9&Fd(QB4HG3k+6%#e|3@o{$x?iWR&s@RJ`@ zmk&z;)Tnw;SW#Wl<&%6^hS**;*$a7Qp$2kye&EF3$}h*1hVSxF-PcIi~7=Zd9c|J`=v3T!pfdpqLCH3vN;rC8pU3M)KS zWg<4`)-q!D`d*ZsB+_BK=WC}wIQg_LY$p+*t50d~6dVOJRjd~Lj-=yremB5Dln6)v zn%X|$cSy!&)Aanzw)>S?`(wK=Y7X6(Mp*|TD>ga z8Cg~oGiekvy>xd)x{4y`DvF-EcV(hzI*Ml2@)KI$-KjQ@K*-~)xusc&I`lxSOOZoK zB=kWIr`F|(;yqGQ3z-36o-$jYMzg|Jcw;r&4~bPBQfes1wi8&H4TpFGxK1j^D+_0b zhtkz~4P@zFnZvwuQ=BokG$V0`$dYx(;w)J>FiUya!Al}5=DV^K3!6NG5Lxm>67iWV zSp^4_+i)7*Ab6Ce7#=DxlO-ZC5i`MEPa~v9#>*N%7EJT;V;LrO?9)zzK(PkFAMWva zwC-`jT@sn*PVzM&Hhh`=E&nUh@pXV49QBh#^1gQxVBZhm08>4HEguN>g<5P>(x5V%#&#nFwi*2J1qy&>=Nhu?Y)=WF^LgV+>EMyak<&1tg6uID z?vP#b%X~kZu{>*|bKQ=0+jpMB)^BHF83ls#E#&!rj%+M!+7Fyd(Oww^_Wj6#D#0Mz|D=Q?#(sv8eyJRIR zG4)AEp6ZQDkp>~?L%|18pY_crjWvSrt=~^l|G$$no5}8`n+}|N=FI$O&dl67XYU@p zIF>(@Bp)ZD-!6~kkGwwc<{K<>JRXk&{`ObjeR(?Bco4o%W2RbpYe@5ZwZ>8{Yh-C{ zF|F4cA7+OyY|eaNxr@-8W(6`=|(@mA%H{M!12ObV_{+Ni6>8wjEoEyM#hH= zY3szGp5 zDwU@CHQ!jzvWeo<%avCqrswC*{_xG`vjdg$QYf|0Zs9QG9M{N92XAX+>{wP1;0ZDY z5+?})d4v_r=Dje)3TAb6^&`V%+6fsubuG9FcNN#H$qVaC@}d_Vyb$*~k8?THqW`pl z^;LLY>vpRqR%iBObyz?mW?W6LW_6g+bTq4nO|40 z6|-5Pc-qN4pE@}^(gis2@_xy8cd!H@mxrCT<%2GSfV=h(OhyRCr;d+(Opadc&zZai zx9n{${N6dcMgRr{`JWW}p=gOhvJK?ai5c=|&3HR|R?m|B6oe%)qM9j|&KJv*W#d<_ zOaki@(JGt?^Hk zz2ho_-Jg;h9>}9EYx9fT{Jf-6Jm4^?!-nm7&38-Gx;of!pm~_xH0(tqi zRJO9`tr-!YRmx=eC%@Okq)x9mlUn`#<;55EBM^EhT3GL?c&&C*qb5tWwY1~cDk=s$ zex0JTgr?ytxT8Vi4NJjGWc%Q4d988X7DHN`HA}_20pG3l9+CY1ThCkVzx9sAz3%ri zRsA|B$Jr4v<@M;(I2dI#pH&CU0m%)o;)Uk34A4W!&5t#oVN&@so~F20^BImNGrqm~ zOfFY}x;3BGs)Z3le%5@Z@7a9MDUjnBYmj8I%yXr6FKLlHAxjkNc&Y;u#dTQ>O#h4!*+U1*%q)CJR0Y()>20i}6N9$TfE z1+a2cj}}oH!yNaeF`=Ef8pqGN%xW_#KX^~&#rRMgTCGFqYh&nkP?JXQ5c=A5v)nmx z^pH2-(b%Vn<&Zcc=1@EmgES+%qP+YMDHuLCO$J<-Vw|)H(2f0ku!JKYK#Aa?1sFXPFf2^%^w)P5bBsxX| zi|>T@CAgcOED&d(c&FIsO=CTe^kW|y$g>W2=Glzv#KiPd6Yc4Cn3xKa6Vs>L0yh)f zyae6=$0(?@zyY)VjVU2q!$Zh=Delb5*<9e4Ju3>2|3c%C7Y!6{ZborVikheK#(ttU z7E$cusc@=2$-%a;tvwlwK(RGR3Pm;fNf-51a4!lfL)zaVzz;~ZpC28*n=5!4P(vmk;bv^*~HEBA7v3pdJS56fThDDT(ZrNwi&eBbjz6yExVyK33n~xXq4PfWp1Q zGxD#v-iEl#foT_%_s*-Z(rc^nD(@9}VNo&H@_R$xR~Fv6y&(T>Ci{trlT#Bc69;N6 zciwLSa>hFgZ|(r>)8o_Ual~Ahri$Gv)kdn$t|D?h?%boJ4Ls{d?r#+OOVYjAtBP1o zZSLgU+;nGPy*WorWbx|4o0&(O!()vj`womW4~?YyIX)SX4J&IkcI`cgeFyIB9H83y z7hWiCP&)E1tTzGj1}bC|ib#9O?o){q7Amr>D*kbXV82%_S$e;2L$xh{R+%cqqHNb-$)SenFW!hTm(h`6Kz1n3Yi$w9 zX5V5Q2oG%>dINYJ@J&#Al0lDxBcWpqX1pF&`x=N~u^>b!xC{F6_VO}!oZoj@ z=T>-^XcEZO^V*-PBjaFo-sja3$g|lV)kQy8k&m(52oEhi6rB1#sHLe3Bw;;gc#>0o zF=uyc)YF{MqXZO`(R;W!q4&eHG$DapOo=lYcfr^{XSaEE1hP(vGZ|x@xw&>57ye)n zhsBr(uFkV@yH`hGhBLXv{&qPV_EHMWaVEc*v&-53G$-^Z0i4KK#n}hoS>jBf%bARW z^>W0kBhckc#=)MAhF3>mhBLV(oQ--Z1?D)DU&7f3)11(w1aKx}6=w(FS>jBf%bARW z_41%sN1)4@jK#Bo?Nk<>%-wx<$g3kT!4ST8^B)e-1&CSyN!+MVxbcX@RLW;l~uvd=!@r4*Rs zOnxROIlDW}2|Y?M#wyM}3C|K|0$t8z9ITh0^6Ch5Ig_!UI^DDJ9oA#)(zrwd3} zK$kNai#mNi8%Mo50yCV+&GZ+oS!{VJ1?D)DpUFwi9!PUSj}nZrinDQeVq#qh2omUW zCgWheJcbITIs#qJWE`x{gI*nh8P4Qp`b*9}=cN?LzApE&SV^{mtXMe2y{7q@X98W$WE_mMuX=R^x}3?_Po3@={57wRzzk<{ zGyNrJ4|^#E<~WmI%-Q`V>DSYo(4zztl(C94{F`B!Gl4E=G7i?uN4+`%UCw0er%rdD zeZ#9GFvFSL63)Kqr4*RsOnwPxkEJ=GM+x9e#wyOf1<%qx6Xz>bDYV~ zM+wGQ#o0M{mN*mWawg+oy?n;2Bhckc#(wH_&&Cm1?D)DU(DJ4tpB4lC-f)*1!b(_>^XRrI1}h{CSyOn?4DUa_UZ_9Ig_!d zQ~U&-`*-T+y*dIjoXIWW>?dAIfjQ3PXL8m-%WRqxdX!*{9%qGH=f>7ugljbm6nA3y z)ZKX-kT`t~8;tIF8?m_2gJL~aK|g?3<#mq^_)>a5nB{^=y$O2yZatvdT3r9ZJkXNP z3x~uR&lgyR3?9sw`IdXt^m4}I!jDLuyxXBu^s1?RmeAf;O>sDM;y4#e#T!+8lZMON3P`((Df!+EmnS@!ac+5v;qo+2mnR&hqS!ZSxjfmmJgakg((Uqu zIm^2OMeOqU(p^9r;aIBw!Yg}oWfIJ{?HDY4+2CzSFyC@xu<&JrcP7E>ieTZ(2JcFO z*B8OUmkquu3BI%l7G4>QrgUBqMUJ>v_{Lo@_$uIygTv!|LG)UK^MSbv79F4%J?hn)-WGIX57k_RLBg)3WY7wx*ftqZCD-~GPxdFD&T&;xhwd7uA%=6>mZW3cJ& zLgB-L==$4(O)W2VymAJifuEtY!QcGio6koJTlc~@tDT&ldbL&kyR)Um+0x2|>WfFV z58o)BnR&TT*uE_qm2rOYQacV^au{n{FU`+)jvN~8>+9=j>wC1PEzEB8ww0Ea7u(1f zOD6qRlarrO!bZvH=BsNh>L#a;O`bY7bFTR9g@unE`sxL9Am1(9iH^D?@7)J_Qm{sd*?3k^rpWeJ?Vc;ed(E_ z^TJOq8ie@={ajXS$QyCGg2&T}qPHme!%pY<;Pg~v#Yp1y?b6roHYws*_PJ;q54H%w z78%(fm<|ZW2gfrBIs=T+tNodfM}hgHwx#g38|;YV7!4F3miK+?;UV=~@P3~BxiH?& z4SwvTL&|f15+6~lZOo0AfwQ*JJ>Kv4<7FuyaaG$SFV3!q>_SNuo!JE}n@rNzg6X2{c>`Xtr2hUKrQbvuOZPQBJ+;sgzN0%4|fW)P<9I6Wu!0 zdP9+CrS6khlSD!`U5o`HhDkSPw(CM^E-Z{!i<_m}IgyAw)rsCLReUsJJ`!04vf6+x z#-Gm|?t$IHq{Brmiw=&DNr=1Xl-{9c+46=`xf0fihHhzw*zrJW7T>7Wgibb=ip>b0 zQE`@efp%Ht!gZzU?({jCcTO#x8-@AFu5P3cCO_B~j(y)4hN|N;B4= zmo07-mIFtBAgf*&!xNHMw%zilb1<>T+l50JZx@*CM%e9i_Gox^It>T9Nc+-72^xVJ zZJDdTX^5cabXx5sEYa^*Fo9g z0y4aonj@7xLx>{72dZr^8*~J5ficOZ503AYeR^u<#Ki0WeT8s46F2Sr-f=uHDCl81 zd3~Z)tm0ctP!A@1{h%f{%LXRX2gmP)$zrH&ymnh8!({qYy(=brW8niPuI-?lre}h8 zyePPV%z;%+-v^A*USrb6d~CGf^mX%DV9b}?XnZBDE4C}mr7of1l#+;clIHkdk2irVA0Bnmi z*e3e~J7Aj=u#L~}2-90ZhV5bhEumK{0DQfzcfvO%tE5j*z6y;DytyEA|Ct;dk1cs2 z2vOb;FDD)vMxYs$KvYJ-DM6#p+G{Sx&{&+IG1-ULpaF6{r-BX|SL$DAlYR*R diff --git a/isim/work/zerocheck.vdb b/isim/work/zerocheck.vdb deleted file mode 100644 index 3a254c1746e807d02a42104ad97c8b9e05f51ccd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4600 zcma)=U5Hd=7{_Px+Z?~L+@e}-LlmME%s!-WSyy(%b|JcmAXwSdaSd;}Dv-{y8;)!W z5|VqRvkoQ>!O~4;Al5}!1zmKNW?P7_5=Oj^b2hY;1M+<}IC_o$HF7+twA6(M#)# zmG@6h6c@>OfgVVyr{Plw8h0w-JsOdXU8pYAuy?p;?_keJdHkE&@r#?jeAy-dE0s!p zD>YuOSF5}F`*sfv?Akk0-tpZRpB!2>v_}dp<>OlfbOPo)*2OupC3*%00((H@K=MI? zl%j_xR`XV` z8eZG~j~BZ@E*+l2&~WKcxi9BFE%&8qB#&9Kg|=ryijhtDQO|8)i5PAP0jGxwFj{_7 za$@|D+cHwQcf-Ee_Iv4W=;>%xvbviDcwSzNMupfHg*O>Fa3!}x#M~Wjatu=i$v4V+g~m{mY2?(2 z5sIB*-kpOTN3^6kMUBMaw%FHS+S5PKb9jHrv$M=5rAkS$r(uZm3qeHG`KaPhSK_d` zk-@`5{Ud$7@K?`$HhuQ$nGXgHOfpzMVhhjdA;xNr#6UNHS8R|~tJnNpVXrmvCKsJ} zJh^nfQXMzjSshPHDa}lMu~qU``Zccx5&sbXr=Gv`u#(?3z8xx!?{AXfgZ`mVJ#>)$ z;FF*S;#B2C<-)0P7xY+AQ*cO;)37dRD$M1E_o8y>5HyOO1RlTPp^^U5k-OJ2R}HX+ zgWUsxkN)uxu>3>;!D&3ifsToJN>9{f8s(Co*Mp2QdD9v;Vkq+~ijf38hkJDV#L43? zsQe}=S(YdEij92S9v#su& zoSz%gVy$8|S=Ve`o5^~8ZcTrcdwULMv4mgGwF+rhcC4e2VlAqIE%l{4Rbc4cP2XSDjqazC~-y=Roy{8?p` zxIs?@`xUellmwx#r|hzFBRwpOZdu}iwRzI`O5!wf+N|r6&V(N^9@pp?=CzN7I<1og zgM|{ZH%qY=&E;IFnOKGy5xt>z0GU{CroX?KpJiA0@EJSD)pXwEgO1LGE#Es0POd zOqM5spAVCLz+}D^ljY=tTCZa;`7TNI`r-5?K|W`ZeUaGt-rU*pS)J2&pt7jj(l#YP zN=Xnlu4GP)iMl-<;6P$uL%s}>g*0ruz;HAo+Zd7ONeGIrQKaFZI2L#uA)j^! z%A{E#wWtPxniaL7wlGKCxH<7OYTFpK=Sc{Pu2Dx&+X&R=TTxq1GKgBYqTVQZ8)^%i zUznVB6L>h5wq(wimFG#|eTeFu>+`xeW>TgnY(qd-lrd%9q{tz0=568KzYlK*SA(;a z!Fdi)^I$RGzT9uuDZ8kf=xdvE&-^A^6L8?kl+QqJfVd3g{tMNgwYEuu{e1VLeK-f^ m*>}jN*YZrNgQw(tV3@$iJmRBf)YPA;*3WvyIoMkL&*wj#vQZiU diff --git a/pr.vhd b/pr.vhd new file mode 100644 index 0000000..c55c35d --- /dev/null +++ b/pr.vhd @@ -0,0 +1,38 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + + +entity pr is + generic( BITCOUNT: integer := 8 ); + port( + xExp, yExp: in std_logic_vector((BITCOUNT-1) downto 0); + xOut, yOut: out std_logic_vector((BITCOUNT-1) downto 0); + needSwap: out std_logic + ); +end pr; + +architecture qwe of pr is + signal xGTy: std_logic_vector((BITCOUNT-1) downto 0); + signal yGTx: std_logic_vector((BITCOUNT-1) downto 0); +begin + xGTy <= xExp and (not yExp); + yGTx <= (not xExp) and yExp; + + xOut <= xGTy; + yOut <= yGTx; + + needSwap_compute: process (xGTy, yGTx) + variable SW: std_logic; + variable K: std_logic; + begin + SW := '0'; + k := '1'; + for i in (BITCOUNT-1) downto 0 loop + SW := SW or ((not(xGTy(i)) and yGTx(i)) and K); + K := K and (not(xGTy(i) and not(yGTx(i)))); + end loop; + needSwap <= SW; + end process; + +end qwe; + diff --git a/pr_isim_beh.exe b/pr_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..11ae4f70553f0c5d3994a18a93c772b43d96d96f GIT binary patch literal 21792 zcmeHPe|%KMwV(WgltMNjXatliE^QFAWFcUJ3GT8RxN8@T5G?x8-DR^$R+HVhKOk5> z8k$78T^ITI{8(S#r?0&J(7wL*mG)T#YB3O!6zvbCYHj_6zwe4DR?9<*?)#p(Gs$Iz zzJ4D5XC|L}&zv*ooH=vm%-or|cXLO*cWHiJ9+N4bEoOu%We!d2MR14&3 z5?)SnWb1Pdjsp65W*C0apsSN)O$sNFc_dft(hqqfmCU9@j{z5^KH z4gyT!_Yuf_0b0Wwi6+W#y2@bKbd`f=B&;>aOhPGlE#%0~e>6!u=eC8{Ev%Z`)>P3J zjwCxPI;$5}EUdD{qqce6{!%EUCN5jCnyZ%n_=2ct7T^+G3a$ybWO9hi<$%O98`qV% zzKn~K#Q^LIz-nBTxW?gHgv*JG+BXjux#2uqlqTV#_RhpL7uO72lxlEYjB7C=NH$z{ zp8Lmcr^nOjGP0eLIvJTM0vRhH`G^wvhJ00qYa%X6({WvZ>movsq~E6^Z^bp9h)6UZ zD9yq3C7v_l?n2}*#dSU|3egH&m*AR@YXPn*TqU?_vu>uSI zZvSa)bI_29&^%VeAN38T0??@+Wcseid=t=2m;GDE^^`H?dcVPW(g61g`iEYFF5~zK z6r1%-6XWtZUhjC;aG8TEAYW5@4)#xi7v+3qP#M#?{tH-_XcthPsvtO#dgxleK@c56 z{h%0>)9&QQGtvJObhDjziwc4{bSe8`4t<(v@VzZ!@!O!WkUycj@z8wB>@IS>#zK9b! zmi9v0T<^C;y>mE!89OHQ%ki*L(3Ryzy?wwb^$YzD;Rk8YA(WZ*|BZ7qb|8nI5%fdQ zQ+kFte_84m_S5`BXpAgB@nyCrC=6XK_z&mU{~gfJXkZINd09F9#6!A9UEDwGn~LJ6kN}mqaC3L13eaOjj@hoB2GwCvV%Y(dJAiA2^q9D z@U@59ZkhVl;9ydf4&guovQM-t7jy%Q}@ z0Fp_<3+;h03^2qx!)?A*$#wCKa7g=#Kzj%+Te08@-!kttOPpSxZ-vih^R0_U6K&Bz zQz&N2+JYS&zDkjN&Z?!ASIk>F-(IsJ8oR|Ej)j7WXl&z>WOH*UR)3C47X)H0!KKh* zv?3T!`kKR$@HuL=S0>}3#&CNmnoOLlh4va46liM*BwCk7W1e`py)oEU&#sQHi~ClE z+mmepc*ED|@-Bz(eQR8+8UrooYX1E7;n0Sq;kJ+~nv9?Yyep&4i4B2R=sHAeI2t*d z0p7sIQ0%kl?RgZ;WCJNXS0~oU2F0Pta}A=k3C=<%HKFI50*QbTzr3NYXvfA_xTO`L zeNOR8p|u{Nb(Wr5mE%uS1R?;>wQ{??E!r~Ty|dXMhi5||cuSy#yZWs5N?xOLee2?J z;k0velYL$y*tRZ^2)2^B=i1Q=B2hH_T(#J%S_ARb@lfoVjs#+zf+iGc;?eQh3^4nK zrgbB|b8Zf-3CEWu!^@*hk5WE%jYbLG4Gx3b;E^;c zk=L1YNgZa{LLnpTB01WN(_Xg{*B^d*3sz8ttO@H4q9tbEi*fjof1sUh47_ z;B?xT%T(s_^DOD1J)ca9%g?h!pU!i}t=#438KPgxbH=UG<>wiqm-C!)t9JQ$hUjy7 z&bT>Tex4yZ`Bf&><>y(Vo6j;DO!O-_hjCkDqSJYZOn#T2XGxFTlMtcRaGy z7MGuAshhCX8BW_=ex4_~SekPD0hgacqKmHO_;#0{L!z0_Cw^$6izO)M+hL;1n4yAQ zCb~RRA$p&QejX7-`nAi?b5!qSo-=Mwy8Jvtba{S5gy&p-0^IhgJZIbvyZk&u{B*V? zlkW2KEYaop6A_NN`~{QOze+fCuuLDv|4cZA zYGwz=4-rlwn%U0r#|g(=#WLGCzMpU!4w){F?;(6F;T;_RIpMTx%J@0{Bf>Fvu}lNU zzf1Ty!W|s{7U4AYWGXp+FX1%hWE75ngYXH2mva2;gwv47Fpl3wI1Po&u}?sl6(gL6 zK;|&VZzh~VKl3EV*AYI6@IH>;KsXJ7%npvPB%DG&vz_Bt6HXzY*~alDgwt_irid~IMN!4CeyI()n(C8WJqZ2rFXdfL=s6#`vpVa>d#t*k` zqdrzsML)YtVQOz*B0u{i@8WId)K%xHQW#sUYHuV;k60noSFCD9Z_Ggu9D?u*$f&7O zwf9i+^}(Wxz?*llzqz@2n{jPht#;QfD~ASBaQ-fs$?F>b7<2lWN9#Z6%)WN8f22mT zDf|0GF}K7rgKdVXcp4phj1HK9>rZ@~&8n#>)5&NppR+YvX1EzM?t6*-F$%vU4^w

a6HrzL;S1r9MUCK5(+esQC~E3TeHKaf_Ib1e zmYx*(EL|5xWvaGs4~61hGEzTGOzFB13cT7Ydno3Of*};t_hxUOrKYA^?MKzrc~Gr_ z&EDtL-pUTB-TlS-elR&}-b=pX)!uxJ#)p3R6!gI|@QfIjxfy(LQ9n8{vls!drskv4 z1BiHgpZ@XRvRUqJeHDbaHE(l%bDpw~88t!nyF z>rw=)swJ#t_T%34_pJ_S(|W89P%V!XJq^ZYpl+c0FHwVjAr)qyxwaHff zA)*x&T2HsG)~at61?pq;9;bCfFskl_UA{m^*rsaL@auz^SyZiq+Z2zIP5OV35XNUG zitj?PbeQv3JPN)+#oCa5FCcnO)duyi8d-e?peJ2esP3MN(~g!(T6$~1W!7HJwO3h5+dHH!y`VrSZ#R@%dWuLhH83l>seoHiH#mW;_+$_7 zy2rpxN;UwZpT??tM*0cTrRIKGC3wJpT0dRMb$mi@7`q6n-_oxKCDV&}6a5xJb$TlZ z=pakaWtgiFAH-Bev~=AHlyh4!3FwtXOL?tjd*@Qer7l#pm-WA$5W@2@0jufOJhkRU zOV3~Nz*bWWuCppon6V#HXaC8Ve=R!;r*0{nr)Kx7HIFCX?>(eFY3aFyL?3`p2MfnA zT_cU@P3(($_J5Gm-lZ{Jju0MVG)P6a|1)u6M3?K=Qx1b2Ebk66+c1k!dJE@Epfrs^ zsEEm5uL2okrjDWjMRl9dP|R1$sYXHCZIBibY4ZV^qjpiRj7)u)my0%CiWa7({DcFz z#+_2sRE;P2f-`$S-S=97S};{FLtWjN*zim#fQSdYZcVXleJ`J}A-A=bw5ma5)KsIj z494hKew^A(Yanl`a6f-|SbA>NPRCx(&K1jI-Ez-F!9^*tbFFk#dshEpXu5(nY7*t z4mYu6_Yq}gVuod>d$OZ;=9a>matCSQRG=${EQ70lY)2y|D`;3epSlyZ7E z-Flq*e!`DXhK_P;m!y~HnQiTD#F#~UEj7Qumzj|1I<|gRb zyOyCJF_3=#SKfn`-be6+>blZq>4{-gi$OGz3%tmKZ*Yt)a|q?AeZ;NWZ`1YgyC*$) z>^95Je7GySzZU&xm^g{VKW$>aqR>o?p8S*}TFH{sgntKz;Rr30Sw{0kswhpg)Resd zUTr8<<_*4vwamWP3%mt0^-4o7j6#oAXw@#Ha82Q=aa*mHdoHBqNNU2>hKjENxKo#S zQSH%^(f3yzGFp<{isc6?`iCG+p-zqMEO+mZ#hU zOsumC%w!44ns%72@=si;FL~6HK1erU8nFPi9b4tM%V~!21Amjiu+;n8Nh* zhuQ3rUZ}hq>U1B9jnzyqax_i82#9{aidF-e-|%^VK>*4!D~$QoiAwbHVX+m+(X>>l zS3z;+1u&7l*O9%ng)!ENHeu_{AURVPz+6wt%k5lDb_V}~$x|DD%&A?Fc}r-RdYT4H z?{8?bFdSAwQA8>lxrz@irlyu=e!@F+!enUds$rJiwS2~&VCA0hTCwooWG&V|{tFr0 zXvKP?uyiK5s-NUBLzbc3s-|dz&$tLdWeae95R z{wVQj^`$W5ql*-_2TMY#C5aX7l&^rv`Ea4CtuEW6kZ7uC3R&M>%@UPRzMuS?UTe*B zb|1)BHSZK~RI9<~^%kzw{UYYC18RCQw+O;JPyxyMZ(oH1ta*P5LcJZ&{iPP)yQmHhw z_H2gkeVKp-1bp+}T3$mrj(O+5?3YstoXysy+3=gO=W_ zd2kjLp&hDLmbr@*q^8_I4H3Smd#FGSX4QRf73iV&Ku=Ggx8qpX^6)eQa~!9*iuFsW z%G8v#kW@8S=`IS)?nQaGFLClu1Ac`8i*TEeKshZHQh88P#PoMavF5&r0$L&2?tx-= zjdI7+(p&ouV+~O^g^Gp>X+S{zOZpGqg`=*c$9uZ&r=aQ&gJ4Wme?|^e`Y=NOw_)l5 zgZd)O)wc{&{|hnq-m)dH>iw3qR;(2sJl&QJ6v%4h@i+J{t< zZnNg=Pl4n?U)*&P&w(Xa=*@9!uX?hBThO6Lo<)0pJrzb{fr0~qA^m%#fM%9KcWIVo z(r`3&GJQ8iKreKK$Ugl`kkSV-S%u%(ES*FRKX!>g7-S0Re? zJ=&Pe$9x?-r3uA+C4dSoJID1LwAAn0l8G8{xod_x#2 zS?z{ka|@4_O=k%k?>@;T;mE{%8%X$3ThTY9{}{jk4nSB2*pP)DhUk18b8AEYdW0 zT{uFg3ZrS%1EU@o^}whHMm;dVZ)YjCx?y1EU_09%!)5 ztF$kytg+8$rfhgl!yJ1}%>sKRGi6D}_%wWxiAp~iU^tjFeqdtCiJzLtn$8S|e?*~_ z2WKl(X9WzJudE5<(~{216;C8+Q=DyW3jd`A&Vg{g5nGSbK&Z=`R&ZrCAe}Hb{VAJW z3;2D&1mMGfcLQ3V&t?w*KK*t!I~j+tuLE8VI2|-fdABvJWO<#XWB&D}@d}$Zer)kJ zlp5c@S}6lPRRrB7bnMK(iLC`J2i^Q#H1RazQb2Df4NFQ&@5!$(DO*yaEGa3!yC_|_ zwZL6cdFL2cNwvGg(M^ZnNF+yguK9B|%YRb_xn*361V}@)s--_@gf8UFfbe@Lv{__%|oG69WuVgdocI|`mUTz(FvZ`X*7><^)i z|7M*SOD8)xzod6gGH`kwMd<Mu%lB^$Zi=%{>3x4>W+$8yb zBXS#j|M?D1dQiZf0uBoJtblI{cwE3S7Z`Hq3-~1g7Yevk!0QBT7I3|QcM5pFfDa0| zQ@}w1pB3;;0gnqfrcBf?AaBIqxt+i(7ITv0$V@ZmXPS}G{HO;;JuvElQ4frIVAKPj z3lIG8a);4QxxQHDaxGHI@$aZ+D^)hTZJsi(va-7Jipnabd?j8_t9bLy=@knqXXD>i zoeQ`9Q}X{m%Ku@bE#6B1wjzL!D)2o;DAvJjcpo3K;kUsRi9idp;Wyf?%+|Cq65rTv zz(kDMS|Ul?dhtIB%!m(Vu~1up1VpZ*EkU~RV|!a7)QLO)*AKQ>l>dm@7Hai1$MDAA z*V+VGi7^}f`-wOzG{DV46hdd9Jsd>sQK-Sq#&sKd@Jo3J;nNiQ`r|W7w6CExqTDyI zdyRtr93}uL3ukav%LI2Ws0b{kOI_{q?{zhkul55^8)J}g9E=4IxWfi zC2=D%Brp9h|BseK$S)Fpl>Ca!2)G&0EHD2Lq5S`Zbe3dJx%J+f9ohjQfUXQn(k4Uo&9 zi~ksG6noNsx&N2{Px7#6zdY}e^3twfK-O%({J#nM5~Kbt1{l6ec?tLA$jkGe4woTv zcv#ZNNI41nK{nU#cl$Y`-)-V-ADSt@FNs!A+xU5_95d8Xb1N) xExp, + yExp => yExp, + xOut => xOut, + yOut => yOut, + needSwap => needSwap + ); + + -- Clock process definitions + clock_process :process + begin + clock <= '0'; + wait for clock_period/2; + clock <= '1'; + wait for clock_period/2; + end process; + + + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 100 ns. + wait for 100 ns; + + wait for clock_period*10; + + -- insert stimulus here + + wait; + end process; + +END; diff --git a/tb_isim_beh.exe b/tb_isim_beh.exe new file mode 100644 index 0000000000000000000000000000000000000000..11ae4f70553f0c5d3994a18a93c772b43d96d96f GIT binary patch literal 21792 zcmeHPe|%KMwV(WgltMNjXatliE^QFAWFcUJ3GT8RxN8@T5G?x8-DR^$R+HVhKOk5> z8k$78T^ITI{8(S#r?0&J(7wL*mG)T#YB3O!6zvbCYHj_6zwe4DR?9<*?)#p(Gs$Iz zzJ4D5XC|L}&zv*ooH=vm%-or|cXLO*cWHiJ9+N4bEoOu%We!d2MR14&3 z5?)SnWb1Pdjsp65W*C0apsSN)O$sNFc_dft(hqqfmCU9@j{z5^KH z4gyT!_Yuf_0b0Wwi6+W#y2@bKbd`f=B&;>aOhPGlE#%0~e>6!u=eC8{Ev%Z`)>P3J zjwCxPI;$5}EUdD{qqce6{!%EUCN5jCnyZ%n_=2ct7T^+G3a$ybWO9hi<$%O98`qV% zzKn~K#Q^LIz-nBTxW?gHgv*JG+BXjux#2uqlqTV#_RhpL7uO72lxlEYjB7C=NH$z{ zp8Lmcr^nOjGP0eLIvJTM0vRhH`G^wvhJ00qYa%X6({WvZ>movsq~E6^Z^bp9h)6UZ zD9yq3C7v_l?n2}*#dSU|3egH&m*AR@YXPn*TqU?_vu>uSI zZvSa)bI_29&^%VeAN38T0??@+Wcseid=t=2m;GDE^^`H?dcVPW(g61g`iEYFF5~zK z6r1%-6XWtZUhjC;aG8TEAYW5@4)#xi7v+3qP#M#?{tH-_XcthPsvtO#dgxleK@c56 z{h%0>)9&QQGtvJObhDjziwc4{bSe8`4t<(v@VzZ!@!O!WkUycj@z8wB>@IS>#zK9b! zmi9v0T<^C;y>mE!89OHQ%ki*L(3Ryzy?wwb^$YzD;Rk8YA(WZ*|BZ7qb|8nI5%fdQ zQ+kFte_84m_S5`BXpAgB@nyCrC=6XK_z&mU{~gfJXkZINd09F9#6!A9UEDwGn~LJ6kN}mqaC3L13eaOjj@hoB2GwCvV%Y(dJAiA2^q9D z@U@59ZkhVl;9ydf4&guovQM-t7jy%Q}@ z0Fp_<3+;h03^2qx!)?A*$#wCKa7g=#Kzj%+Te08@-!kttOPpSxZ-vih^R0_U6K&Bz zQz&N2+JYS&zDkjN&Z?!ASIk>F-(IsJ8oR|Ej)j7WXl&z>WOH*UR)3C47X)H0!KKh* zv?3T!`kKR$@HuL=S0>}3#&CNmnoOLlh4va46liM*BwCk7W1e`py)oEU&#sQHi~ClE z+mmepc*ED|@-Bz(eQR8+8UrooYX1E7;n0Sq;kJ+~nv9?Yyep&4i4B2R=sHAeI2t*d z0p7sIQ0%kl?RgZ;WCJNXS0~oU2F0Pta}A=k3C=<%HKFI50*QbTzr3NYXvfA_xTO`L zeNOR8p|u{Nb(Wr5mE%uS1R?;>wQ{??E!r~Ty|dXMhi5||cuSy#yZWs5N?xOLee2?J z;k0velYL$y*tRZ^2)2^B=i1Q=B2hH_T(#J%S_ARb@lfoVjs#+zf+iGc;?eQh3^4nK zrgbB|b8Zf-3CEWu!^@*hk5WE%jYbLG4Gx3b;E^;c zk=L1YNgZa{LLnpTB01WN(_Xg{*B^d*3sz8ttO@H4q9tbEi*fjof1sUh47_ z;B?xT%T(s_^DOD1J)ca9%g?h!pU!i}t=#438KPgxbH=UG<>wiqm-C!)t9JQ$hUjy7 z&bT>Tex4yZ`Bf&><>y(Vo6j;DO!O-_hjCkDqSJYZOn#T2XGxFTlMtcRaGy z7MGuAshhCX8BW_=ex4_~SekPD0hgacqKmHO_;#0{L!z0_Cw^$6izO)M+hL;1n4yAQ zCb~RRA$p&QejX7-`nAi?b5!qSo-=Mwy8Jvtba{S5gy&p-0^IhgJZIbvyZk&u{B*V? zlkW2KEYaop6A_NN`~{QOze+fCuuLDv|4cZA zYGwz=4-rlwn%U0r#|g(=#WLGCzMpU!4w){F?;(6F;T;_RIpMTx%J@0{Bf>Fvu}lNU zzf1Ty!W|s{7U4AYWGXp+FX1%hWE75ngYXH2mva2;gwv47Fpl3wI1Po&u}?sl6(gL6 zK;|&VZzh~VKl3EV*AYI6@IH>;KsXJ7%npvPB%DG&vz_Bt6HXzY*~alDgwt_irid~IMN!4CeyI()n(C8WJqZ2rFXdfL=s6#`vpVa>d#t*k` zqdrzsML)YtVQOz*B0u{i@8WId)K%xHQW#sUYHuV;k60noSFCD9Z_Ggu9D?u*$f&7O zwf9i+^}(Wxz?*llzqz@2n{jPht#;QfD~ASBaQ-fs$?F>b7<2lWN9#Z6%)WN8f22mT zDf|0GF}K7rgKdVXcp4phj1HK9>rZ@~&8n#>)5&NppR+YvX1EzM?t6*-F$%vU4^w

a6HrzL;S1r9MUCK5(+esQC~E3TeHKaf_Ib1e zmYx*(EL|5xWvaGs4~61hGEzTGOzFB13cT7Ydno3Of*};t_hxUOrKYA^?MKzrc~Gr_ z&EDtL-pUTB-TlS-elR&}-b=pX)!uxJ#)p3R6!gI|@QfIjxfy(LQ9n8{vls!drskv4 z1BiHgpZ@XRvRUqJeHDbaHE(l%bDpw~88t!nyF z>rw=)swJ#t_T%34_pJ_S(|W89P%V!XJq^ZYpl+c0FHwVjAr)qyxwaHff zA)*x&T2HsG)~at61?pq;9;bCfFskl_UA{m^*rsaL@auz^SyZiq+Z2zIP5OV35XNUG zitj?PbeQv3JPN)+#oCa5FCcnO)duyi8d-e?peJ2esP3MN(~g!(T6$~1W!7HJwO3h5+dHH!y`VrSZ#R@%dWuLhH83l>seoHiH#mW;_+$_7 zy2rpxN;UwZpT??tM*0cTrRIKGC3wJpT0dRMb$mi@7`q6n-_oxKCDV&}6a5xJb$TlZ z=pakaWtgiFAH-Bev~=AHlyh4!3FwtXOL?tjd*@Qer7l#pm-WA$5W@2@0jufOJhkRU zOV3~Nz*bWWuCppon6V#HXaC8Ve=R!;r*0{nr)Kx7HIFCX?>(eFY3aFyL?3`p2MfnA zT_cU@P3(($_J5Gm-lZ{Jju0MVG)P6a|1)u6M3?K=Qx1b2Ebk66+c1k!dJE@Epfrs^ zsEEm5uL2okrjDWjMRl9dP|R1$sYXHCZIBibY4ZV^qjpiRj7)u)my0%CiWa7({DcFz z#+_2sRE;P2f-`$S-S=97S};{FLtWjN*zim#fQSdYZcVXleJ`J}A-A=bw5ma5)KsIj z494hKew^A(Yanl`a6f-|SbA>NPRCx(&K1jI-Ez-F!9^*tbFFk#dshEpXu5(nY7*t z4mYu6_Yq}gVuod>d$OZ;=9a>matCSQRG=${EQ70lY)2y|D`;3epSlyZ7E z-Flq*e!`DXhK_P;m!y~HnQiTD#F#~UEj7Qumzj|1I<|gRb zyOyCJF_3=#SKfn`-be6+>blZq>4{-gi$OGz3%tmKZ*Yt)a|q?AeZ;NWZ`1YgyC*$) z>^95Je7GySzZU&xm^g{VKW$>aqR>o?p8S*}TFH{sgntKz;Rr30Sw{0kswhpg)Resd zUTr8<<_*4vwamWP3%mt0^-4o7j6#oAXw@#Ha82Q=aa*mHdoHBqNNU2>hKjENxKo#S zQSH%^(f3yzGFp<{isc6?`iCG+p-zqMEO+mZ#hU zOsumC%w!44ns%72@=si;FL~6HK1erU8nFPi9b4tM%V~!21Amjiu+;n8Nh* zhuQ3rUZ}hq>U1B9jnzyqax_i82#9{aidF-e-|%^VK>*4!D~$QoiAwbHVX+m+(X>>l zS3z;+1u&7l*O9%ng)!ENHeu_{AURVPz+6wt%k5lDb_V}~$x|DD%&A?Fc}r-RdYT4H z?{8?bFdSAwQA8>lxrz@irlyu=e!@F+!enUds$rJiwS2~&VCA0hTCwooWG&V|{tFr0 zXvKP?uyiK5s-NUBLzbc3s-|dz&$tLdWeae95R z{wVQj^`$W5ql*-_2TMY#C5aX7l&^rv`Ea4CtuEW6kZ7uC3R&M>%@UPRzMuS?UTe*B zb|1)BHSZK~RI9<~^%kzw{UYYC18RCQw+O;JPyxyMZ(oH1ta*P5LcJZ&{iPP)yQmHhw z_H2gkeVKp-1bp+}T3$mrj(O+5?3YstoXysy+3=gO=W_ zd2kjLp&hDLmbr@*q^8_I4H3Smd#FGSX4QRf73iV&Ku=Ggx8qpX^6)eQa~!9*iuFsW z%G8v#kW@8S=`IS)?nQaGFLClu1Ac`8i*TEeKshZHQh88P#PoMavF5&r0$L&2?tx-= zjdI7+(p&ouV+~O^g^Gp>X+S{zOZpGqg`=*c$9uZ&r=aQ&gJ4Wme?|^e`Y=NOw_)l5 zgZd)O)wc{&{|hnq-m)dH>iw3qR;(2sJl&QJ6v%4h@i+J{t< zZnNg=Pl4n?U)*&P&w(Xa=*@9!uX?hBThO6Lo<)0pJrzb{fr0~qA^m%#fM%9KcWIVo z(r`3&GJQ8iKreKK$Ugl`kkSV-S%u%(ES*FRKX!>g7-S0Re? zJ=&Pe$9x?-r3uA+C4dSoJID1LwAAn0l8G8{xod_x#2 zS?z{ka|@4_O=k%k?>@;T;mE{%8%X$3ThTY9{}{jk4nSB2*pP)DhUk18b8AEYdW0 zT{uFg3ZrS%1EU@o^}whHMm;dVZ)YjCx?y1EU_09%!)5 ztF$kytg+8$rfhgl!yJ1}%>sKRGi6D}_%wWxiAp~iU^tjFeqdtCiJzLtn$8S|e?*~_ z2WKl(X9WzJudE5<(~{216;C8+Q=DyW3jd`A&Vg{g5nGSbK&Z=`R&ZrCAe}Hb{VAJW z3;2D&1mMGfcLQ3V&t?w*KK*t!I~j+tuLE8VI2|-fdABvJWO<#XWB&D}@d}$Zer)kJ zlp5c@S}6lPRRrB7bnMK(iLC`J2i^Q#H1RazQb2Df4NFQ&@5!$(DO*yaEGa3!yC_|_ zwZL6cdFL2cNwvGg(M^ZnNF+yguK9B|%YRb_xn*361V}@)s--_@gf8UFfbe@Lv{__%|oG69WuVgdocI|`mUTz(FvZ`X*7><^)i z|7M*SOD8)xzod6gGH`kwMd<Mu%lB^$Zi=%{>3x4>W+$8yb zBXS#j|M?D1dQiZf0uBoJtblI{cwE3S7Z`Hq3-~1g7Yevk!0QBT7I3|QcM5pFfDa0| zQ@}w1pB3;;0gnqfrcBf?AaBIqxt+i(7ITv0$V@ZmXPS}G{HO;;JuvElQ4frIVAKPj z3lIG8a);4QxxQHDaxGHI@$aZ+D^)hTZJsi(va-7Jipnabd?j8_t9bLy=@knqXXD>i zoeQ`9Q}X{m%Ku@bE#6B1wjzL!D)2o;DAvJjcpo3K;kUsRi9idp;Wyf?%+|Cq65rTv zz(kDMS|Ul?dhtIB%!m(Vu~1up1VpZ*EkU~RV|!a7)QLO)*AKQ>l>dm@7Hai1$MDAA z*V+VGi7^}f`-wOzG{DV46hdd9Jsd>sQK-Sq#&sKd@Jo3J;nNiQ`r|W7w6CExqTDyI zdyRtr93}uL3ukav%LI2Ws0b{kOI_{q?{zhkul55^8)J}g9E=4IxWfi zC2=D%Brp9h|BseK$S)Fpl>Ca!2)G&0EHD2Lq5S`Zbe3dJx%J+f9ohjQfUXQn(k4Uo&9 zi~ksG6noNsx&N2{Px7#6zdY}e^3twfK-O%({J#nM5~Kbt1{l6ec?tLA$jkGe4woTv zcv#ZNNI41nK{nU#cl$Y`-)-V-ADSt@FNs!A+xU5_95d8Xb1N)Z3e|0UA!skN?UN)~&vM&t zl{`n>W83FSUM%hvXT(>F8TA@5tKT>0z#k9&Uf6s{H{f%!t&;7KQq!tbz%iO zPgb^0sdK9a|N0EYKGm25;xEL47`Z31sE|`=wp!}!~9*GpM<%>VpM`n;~W;|N{gY+TpZTu;tXqYbJP~?mTi~1O!#hB5;#xT zMEIdy%zGmV5@A4cme#)i;ajt0KUIuUVt`rKvnSex|@@i_a5ZmG-Wm zB~1Xp}OU4h`5TULwBUV%)mOCpvM+ zmxePs`YEl}mo}J;I8y%y#C^MrxmIn)V*ea5A9N>gwGLlqWP4CC9<_0Oanhb?ACa*W*j#Jgtsd?pX-a%PmdnCb7r%3J>AImw0x`m}57~WBc zn)I=}o6z;b(huzE7(|U&YMt{qAT0gBQbQ0}#&CI9Aw460T-XjtiHSPltT-(Acf=X- zj{+@{1k1aLB+owf8?`gypXFRE3C7h8x%06H)y{~26)2Dd>uLj>k3Fn*M*OEhoswXw zhZZqw^sy(&n2~LkCZClAOU;1gEcjUVAS2scVY?*3a)*IsjXrjr#b$(EA|F_OFMwr@ zKDHp|foP@pTxwQ%^KNb`VoU#0(*@>{nEkmTLV99Y=&JS&?@=Bx_6#6f+Z$Q z-4S=SK-`gF`JF;s*4PYtvp_e>2liqyve`Lgv(-|Lj`#haaUodXYPA4AZ z?j_z!_ZH7YXP#|h;&E5DTb(+)-0JM-l~$i_p7cpIVyRVZ!$o`g^z+(gF*2{U~ z9%Nq7f7xc;5aSWowNKGIHj_4e!5uuetHqz+zil&~-~az=yY;SLy|Tq$Z)5w7Xlg!Y z+9p4a+}_i6x~lc7HPdz+-^+Y_1LD)vfUA+wXoqC)gT|hCwk7gW=*} zsZQM8m~=E}QLMTJLIC zoa#3~mR*F%7=dHl^59V>23?ILw>TV##dvHY%-< z$$hIgD`6asRFh&l>8yTs|5tSCbH9yu)lW Fe*qM=<*EPx literal 0 HcmV?d00001 diff --git a/xilinxsim.ini b/xilinxsim.ini index 600496d..a023645 100644 --- a/xilinxsim.ini +++ b/xilinxsim.ini @@ -1 +1 @@ -work=isim/work +isim_temp=isim/temp